]> git.lizzy.rs Git - rust.git/commitdiff
Re-add libuv as a submodule.
authorErick Tryzelaar <erick.tryzelaar@gmail.com>
Tue, 8 Nov 2011 22:36:19 +0000 (14:36 -0800)
committerBrian Anderson <banderson@mozilla.com>
Wed, 9 Nov 2011 03:01:47 +0000 (19:01 -0800)
72 files changed:
.gitmodules
Makefile.in
mk/clean.mk
mk/dist.mk
mk/libuv/ia32/mac/Makefile
mk/libuv/ia32/mac/Makefile-e [new file with mode: 0644]
mk/libuv/ia32/mac/gyp-mac-tool
mk/libuv/ia32/mac/src/libuv/run-benchmarks.target.mk [new file with mode: 0644]
mk/libuv/ia32/mac/src/libuv/run-tests.target.mk [new file with mode: 0644]
mk/libuv/ia32/mac/src/libuv/uv.Makefile [new file with mode: 0644]
mk/libuv/ia32/mac/src/libuv/uv.target.mk [new file with mode: 0644]
mk/libuv/ia32/mac/src/rt/libuv/run-benchmarks.target.mk [deleted file]
mk/libuv/ia32/mac/src/rt/libuv/run-tests.target.mk [deleted file]
mk/libuv/ia32/mac/src/rt/libuv/uv.Makefile [deleted file]
mk/libuv/ia32/mac/src/rt/libuv/uv.target.mk [deleted file]
mk/libuv/ia32/unix/Makefile
mk/libuv/ia32/unix/Makefile-e [new file with mode: 0644]
mk/libuv/ia32/unix/src/libuv/run-benchmarks.target.mk [new file with mode: 0644]
mk/libuv/ia32/unix/src/libuv/run-tests.target.mk [new file with mode: 0644]
mk/libuv/ia32/unix/src/libuv/uv.Makefile [new file with mode: 0644]
mk/libuv/ia32/unix/src/libuv/uv.target.mk [new file with mode: 0644]
mk/libuv/ia32/unix/src/rt/libuv/run-benchmarks.target.mk [deleted file]
mk/libuv/ia32/unix/src/rt/libuv/run-tests.target.mk [deleted file]
mk/libuv/ia32/unix/src/rt/libuv/uv.Makefile [deleted file]
mk/libuv/ia32/unix/src/rt/libuv/uv.target.mk [deleted file]
mk/libuv/ia32/win/Makefile
mk/libuv/ia32/win/Makefile-e [new file with mode: 0644]
mk/libuv/ia32/win/src/libuv/run-benchmarks.target.mk [new file with mode: 0644]
mk/libuv/ia32/win/src/libuv/run-tests.target.mk [new file with mode: 0644]
mk/libuv/ia32/win/src/libuv/uv.Makefile [new file with mode: 0644]
mk/libuv/ia32/win/src/libuv/uv.target.mk [new file with mode: 0644]
mk/libuv/ia32/win/src/rt/libuv/run-benchmarks.target.mk [deleted file]
mk/libuv/ia32/win/src/rt/libuv/run-tests.target.mk [deleted file]
mk/libuv/ia32/win/src/rt/libuv/uv.Makefile [deleted file]
mk/libuv/ia32/win/src/rt/libuv/uv.target.mk [deleted file]
mk/libuv/x86_64/mac/Makefile
mk/libuv/x86_64/mac/Makefile-e [new file with mode: 0644]
mk/libuv/x86_64/mac/gyp-mac-tool
mk/libuv/x86_64/mac/src/libuv/run-benchmarks.target.mk [new file with mode: 0644]
mk/libuv/x86_64/mac/src/libuv/run-benchmarks.target.mk-e [new file with mode: 0644]
mk/libuv/x86_64/mac/src/libuv/run-tests.target.mk [new file with mode: 0644]
mk/libuv/x86_64/mac/src/libuv/run-tests.target.mk-e [new file with mode: 0644]
mk/libuv/x86_64/mac/src/libuv/uv.Makefile [new file with mode: 0644]
mk/libuv/x86_64/mac/src/libuv/uv.target.mk [new file with mode: 0644]
mk/libuv/x86_64/mac/src/libuv/uv.target.mk-e [new file with mode: 0644]
mk/libuv/x86_64/mac/src/rt/libuv/run-benchmarks.target.mk [deleted file]
mk/libuv/x86_64/mac/src/rt/libuv/run-tests.target.mk [deleted file]
mk/libuv/x86_64/mac/src/rt/libuv/uv.Makefile [deleted file]
mk/libuv/x86_64/mac/src/rt/libuv/uv.target.mk [deleted file]
mk/libuv/x86_64/unix/Makefile
mk/libuv/x86_64/unix/Makefile-e [new file with mode: 0644]
mk/libuv/x86_64/unix/src/libuv/run-benchmarks.target.mk [new file with mode: 0644]
mk/libuv/x86_64/unix/src/libuv/run-tests.target.mk [new file with mode: 0644]
mk/libuv/x86_64/unix/src/libuv/uv.Makefile [new file with mode: 0644]
mk/libuv/x86_64/unix/src/libuv/uv.target.mk [new file with mode: 0644]
mk/libuv/x86_64/unix/src/rt/libuv/run-benchmarks.target.mk [deleted file]
mk/libuv/x86_64/unix/src/rt/libuv/run-tests.target.mk [deleted file]
mk/libuv/x86_64/unix/src/rt/libuv/uv.Makefile [deleted file]
mk/libuv/x86_64/unix/src/rt/libuv/uv.target.mk [deleted file]
mk/libuv/x86_64/win/Makefile
mk/libuv/x86_64/win/Makefile-e [new file with mode: 0644]
mk/libuv/x86_64/win/src/libuv/run-benchmarks.target.mk [new file with mode: 0644]
mk/libuv/x86_64/win/src/libuv/run-tests.target.mk [new file with mode: 0644]
mk/libuv/x86_64/win/src/libuv/uv.Makefile [new file with mode: 0644]
mk/libuv/x86_64/win/src/libuv/uv.target.mk [new file with mode: 0644]
mk/libuv/x86_64/win/src/rt/libuv/run-benchmarks.target.mk [deleted file]
mk/libuv/x86_64/win/src/rt/libuv/run-tests.target.mk [deleted file]
mk/libuv/x86_64/win/src/rt/libuv/uv.Makefile [deleted file]
mk/libuv/x86_64/win/src/rt/libuv/uv.target.mk [deleted file]
mk/rt.mk
src/etc/gyp-uv
src/libuv [new submodule]

index face37ff58c74079a959d6137eab8ba7e0593e63..bd5c14b7f469c393e931be5a88b83e7f33aa8388 100644 (file)
@@ -1,3 +1,6 @@
 [submodule "src/llvm"]
        path = src/llvm
        url = git://github.com/brson/llvm.git
+[submodule "src/libuv"]
+       path = src/libuv
+       url = git://github.com/joyent/libuv
index 7c7659e607bd9f56db9932824a0d4ee9a3015611..229daf85410ef746f0772a5df4b30f4b5a3de992 100644 (file)
 
 include config.mk
 OUR_MKFILES := Makefile config.mk $(wildcard $(CFG_SRC_DIR)/mk/*.mk)
-3RDPARTY_MKFILES := $(CFG_SRC_DIR)/src/rt/libuv/Makefile \
-                    $(wildcard $(CFG_SRC_DIR)/src/rt/libuv/*.mk)
+3RDPARTY_MKFILES := $(CFG_SRC_DIR)/src/libuv/Makefile \
+                    $(wildcard $(CFG_SRC_DIR)/src/libuv/*.mk)
 GEN_MKFILES := $(wildcard $(CFG_SRC_DIR)/mk/libuv/*/*/*) \
-               $(wildcard $(CFG_SRC_DIR)/mk/libuv/mac/src/rt/libuv/*) \
-               $(wildcard $(CFG_SRC_DIR)/mk/libuv/mac/src/rt/libuv/*) \
-               $(wildcard $(CFG_SRC_DIR)/mk/libuv/mac/src/rt/libuv/*)
+               $(wildcard $(CFG_SRC_DIR)/mk/libuv/mac/src/libuv/*) \
+               $(wildcard $(CFG_SRC_DIR)/mk/libuv/mac/src/libuv/*) \
+               $(wildcard $(CFG_SRC_DIR)/mk/libuv/mac/src/libuv/*)
 
 MKFILES := $(OUR_MKFILES) $(3RDPARTY_MKFILES) $(GEN_MKFILES)
 
index d1db178f9c00474c9f6fd30cf7c2b5d6284ab245..695d9f75dc4686066a76e2ef917418dca2386dbe 100644 (file)
@@ -28,9 +28,9 @@ clean-misc:
        $(Q)rm -f $(GENERATED)
        $(Q)rm -f rustllvm/$(CFG_RUSTLLVM) rustllvm/rustllvmbits.a
        $(Q)rm -f rt/$(CFG_RUNTIME)
-       $(Q)rm -f rt/libuv/uv.a
-       $(Q)rm -Rf $(wildcard rt/libuv/src/*/*)
-       $(Q)rm -f $(wildcard rt/libuv/src/*.o)
+       $(Q)rm -f libuv/uv.a
+       $(Q)rm -Rf $(wildcard libuv/src/*/*)
+       $(Q)rm -f $(wildcard libuv/src/*.o)
        $(Q)rm -f test/run_pass_stage2.rc test/run_pass_stage2_driver.rs
        $(Q)rm -Rf $(PKG_NAME)-*.tar.gz dist
        $(Q)rm -f $(foreach ext,o a d bc s exe,$(wildcard stage*/*.$(ext)))
@@ -44,7 +44,7 @@ clean-misc:
                  aux cp fn ky log pdf html pg toc tp vr cps, \
                  $(wildcard doc/*.$(ext)))
        $(Q)rm -Rf doc/version.texi
-       $(Q)rm -rf rt/libuv
+       $(Q)rm -rf libuv
 
 define CLEAN_STAGE_N
 
@@ -87,4 +87,4 @@ endif
 endef
 
 $(foreach target, $(CFG_TARGET_TRIPLES), \
- $(eval $(call DEF_CLEAN_LLVM_TARGET,$(target))))
\ No newline at end of file
+ $(eval $(call DEF_CLEAN_LLVM_TARGET,$(target))))
index 89017c1d297a833acce58262188d590e6bb574f8..230d325c843f3f375248b4154ec6ebcd49afd522 100644 (file)
@@ -20,12 +20,12 @@ PKG_3RDPARTY := rt/valgrind.h rt/memcheck.h \
                 rt/bigint/bigint_ext.cpp rt/bigint/low_primes.h
 
 PKG_UV := \
-                $(wildcard $(S)src/rt/libuv/*) \
-                $(wildcard $(S)src/rt/libuv/include/*) \
-                $(wildcard $(S)src/rt/libuv/include/*/*) \
-                $(wildcard $(S)src/rt/libuv/src/*) \
-                $(wildcard $(S)src/rt/libuv/src/*/*) \
-                $(wildcard $(S)src/rt/libuv/src/*/*/*)
+                $(wildcard $(S)src/libuv/*) \
+                $(wildcard $(S)src/libuv/include/*) \
+                $(wildcard $(S)src/libuv/include/*/*) \
+                $(wildcard $(S)src/libuv/src/*) \
+                $(wildcard $(S)src/libuv/src/*/*) \
+                $(wildcard $(S)src/libuv/src/*/*/*)
 
 PKG_PP_EXAMPLES = $(wildcard $(S)src/test/pretty/*.pp)
 
index dee46615323b80b93054ec8f6736cb311c12a3be..22b574234f99911dcadce533591cb56443158641 100644 (file)
@@ -329,23 +329,23 @@ $(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
 
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-benchmarks.target.mk)))),)
-  include src/rt/libuv/run-benchmarks.target.mk
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-tests.target.mk)))),)
-  include src/rt/libuv/run-tests.target.mk
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/uv.target.mk)))),)
-  include src/rt/libuv/uv.target.mk
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
 endif
 
 quiet_cmd_regen_makefile = ACTION Regenerating $@
-cmd_regen_makefile = ./src/rt/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/mac" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=mac" src/rt/libuv/uv.gyp
-#Makefile: $(srcdir)/src/rt/libuv/uv.gyp
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/mac" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=mac" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
 #      $(call do_cmd,regen_makefile)
 
 # "all" is a concatenation of the "all" targets from all the included
diff --git a/mk/libuv/ia32/mac/Makefile-e b/mk/libuv/ia32/mac/Makefile-e
new file mode 100644 (file)
index 0000000..6aca32e
--- /dev/null
@@ -0,0 +1,375 @@
+# We borrow heavily from the kernel build setup, though we are simpler since
+# we don't have Kconfig tweaking settings on us.
+
+# The implicit make rules have it looking for RCS files, among other things.
+# We instead explicitly write all the rules we care about.
+# It's even quicker (saves ~200ms) to pass -r on the command line.
+MAKEFLAGS=-r
+
+# The source directory tree.
+srcdir := ../../../..
+
+# The name of the builddir.
+builddir_name ?= out
+
+# The V=1 flag on command line makes us verbosely print command lines.
+ifdef V
+  quiet=
+else
+  quiet=quiet_
+endif
+
+# Specify BUILDTYPE=Release on the command line for a release build.
+BUILDTYPE ?= Default
+
+# Directory all our build output goes into.
+# Note that this must be two directories beneath src/ for unit tests to pass,
+# as they reach into the src/ directory for data with relative paths.
+builddir ?= $(builddir_name)/$(BUILDTYPE)
+abs_builddir := $(abspath $(builddir))
+depsdir := $(builddir)/.deps
+
+# Object output directory.
+obj := $(builddir)/obj
+abs_obj := $(abspath $(obj))
+
+# We build up a list of every single one of the targets so we can slurp in the
+# generated dependency rule Makefiles in one pass.
+all_deps :=
+
+
+
+# C++ apps need to be linked with g++.
+#
+# Note: flock is used to seralize linking. Linking is a memory-intensive
+# process so running parallel links can often lead to thrashing.  To disable
+# the serialization, override LINK via an envrionment variable as follows:
+#
+#   export LINK=g++
+#
+# This will allow make to invoke N linker processes as specified in -jN.
+LINK ?= ./gyp-mac-tool flock $(builddir)/linker.lock $(CXX)
+
+CC.target ?= $(CC)
+CFLAGS.target ?= $(CFLAGS)
+CXX.target ?= $(CXX)
+CXXFLAGS.target ?= $(CXXFLAGS)
+LINK.target ?= $(LINK)
+LDFLAGS.target ?= $(LDFLAGS) 
+AR.target ?= $(AR)
+ARFLAGS.target ?= crs
+
+# N.B.: the logic of which commands to run should match the computation done
+# in gyp's make.py where ARFLAGS.host etc. is computed.
+# TODO(evan): move all cross-compilation logic to gyp-time so we don't need
+# to replicate this environment fallback in make as well.
+CC.host ?= gcc
+CFLAGS.host ?=
+CXX.host ?= g++
+CXXFLAGS.host ?=
+LINK.host ?= g++
+LDFLAGS.host ?=
+AR.host ?= ar
+ARFLAGS.host := crs
+
+# Define a dir function that can handle spaces.
+# http://www.gnu.org/software/make/manual/make.html#Syntax-of-Functions
+# "leading spaces cannot appear in the text of the first argument as written.
+# These characters can be put into the argument value by variable substitution."
+empty :=
+space := $(empty) $(empty)
+
+# http://stackoverflow.com/questions/1189781/using-make-dir-or-notdir-on-a-path-with-spaces
+replace_spaces = $(subst $(space),?,$1)
+unreplace_spaces = $(subst ?,$(space),$1)
+dirx = $(call unreplace_spaces,$(dir $(call replace_spaces,$1)))
+
+# Flags to make gcc output dependency info.  Note that you need to be
+# careful here to use the flags that ccache and distcc can understand.
+# We write to a dep file on the side first and then rename at the end
+# so we can't end up with a broken dep file.
+depfile = $(depsdir)/$(call replace_spaces,$@).d
+DEPFLAGS = -MMD -MF $(depfile).raw
+
+# We have to fixup the deps output in a few ways.
+# (1) the file output should mention the proper .o file.
+# ccache or distcc lose the path to the target, so we convert a rule of
+# the form:
+#   foobar.o: DEP1 DEP2
+# into
+#   path/to/foobar.o: DEP1 DEP2
+# (2) we want missing files not to cause us to fail to build.
+# We want to rewrite
+#   foobar.o: DEP1 DEP2 \
+#               DEP3
+# to
+#   DEP1:
+#   DEP2:
+#   DEP3:
+# so if the files are missing, they're just considered phony rules.
+# We have to do some pretty insane escaping to get those backslashes
+# and dollar signs past make, the shell, and sed at the same time.
+# Doesn't work with spaces, but that's fine: .d files have spaces in
+# their names replaced with other characters.
+define fixup_dep
+# The depfile may not exist if the input file didn't have any #includes.
+touch $(depfile).raw
+# Fixup path as in (1).
+sed -e "s|^$(notdir $@)|$@|" $(depfile).raw >> $(depfile)
+# Add extra rules as in (2).
+# We remove slashes and replace spaces with new lines;
+# remove blank lines;
+# delete the first line and append a colon to the remaining lines.
+sed -e 's|\\||' -e 'y| |\n|' $(depfile).raw |\
+  grep -v '^$$'                             |\
+  sed -e 1d -e 's|$$|:|'                     \
+    >> $(depfile)
+rm $(depfile).raw
+endef
+
+# Command definitions:
+# - cmd_foo is the actual command to run;
+# - quiet_cmd_foo is the brief-output summary of the command.
+
+quiet_cmd_cc = CC($(TOOLSET)) $@
+cmd_cc = $(CC.$(TOOLSET)) $(GYP_CFLAGS) $(DEPFLAGS) $(CFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_cxx = CXX($(TOOLSET)) $@
+cmd_cxx = $(CXX.$(TOOLSET)) $(GYP_CXXFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_objc = CXX($(TOOLSET)) $@
+cmd_objc = $(CC.$(TOOLSET)) $(GYP_OBJCFLAGS) $(DEPFLAGS) -c -o $@ $<
+
+quiet_cmd_objcxx = CXX($(TOOLSET)) $@
+cmd_objcxx = $(CXX.$(TOOLSET)) $(GYP_OBJCXXFLAGS) $(DEPFLAGS) -c -o $@ $<
+
+# Commands for precompiled header files.
+quiet_cmd_pch_c = CXX($(TOOLSET)) $@
+cmd_pch_c = $(CC.$(TOOLSET)) $(GYP_PCH_CFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+quiet_cmd_pch_cc = CXX($(TOOLSET)) $@
+cmd_pch_cc = $(CC.$(TOOLSET)) $(GYP_PCH_CCFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+quiet_cmd_pch_m = CXX($(TOOLSET)) $@
+cmd_pch_m = $(CC.$(TOOLSET)) $(GYP_PCH_OBJCFLAGS) $(DEPFLAGS) -c -o $@ $<
+quiet_cmd_pch_mm = CXX($(TOOLSET)) $@
+cmd_pch_mm = $(CC.$(TOOLSET)) $(GYP_PCH_OBJCXXFLAGS) $(DEPFLAGS) -c -o $@ $<
+
+# gyp-mac-tool is written next to the root Makefile by gyp.
+# Use $(4) for the command, since $(2) and $(3) are used as flag by do_cmd
+# already.
+quiet_cmd_mac_tool = MACTOOL $(4) $<
+cmd_mac_tool = ./gyp-mac-tool $(4) $< "$@"
+
+quiet_cmd_mac_package_framework = PACKAGE FRAMEWORK $@
+cmd_mac_package_framework = ./gyp-mac-tool package-framework "$@" $(4)
+
+quiet_cmd_touch = TOUCH $@
+cmd_touch = touch $@
+
+quiet_cmd_copy = COPY $@
+# send stderr to /dev/null to ignore messages when linking directories.
+cmd_copy = ln -f "$<" "$@" 2>/dev/null || (rm -rf "$@" && cp -af "$<" "$@")
+
+quiet_cmd_alink = LIBTOOL-STATIC $@
+cmd_alink = rm -f $@ && libtool -static -o $@ $(filter %.o,$^)
+
+quiet_cmd_link = LINK($(TOOLSET)) $@
+cmd_link = $(LINK.$(TOOLSET)) $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o "$@" $(LD_INPUTS) $(LIBS)
+
+# TODO(thakis): Find out and document the difference between shared_library and
+# loadable_module on mac.
+quiet_cmd_solink = SOLINK($(TOOLSET)) $@
+cmd_solink = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o "$@" $(LD_INPUTS) $(LIBS)
+
+# TODO(thakis): The solink_module rule is likely wrong. Xcode seems to pass
+# -bundle -single_module here (for osmesa.so).
+quiet_cmd_solink_module = SOLINK_MODULE($(TOOLSET)) $@
+cmd_solink_module = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o $@ $(filter-out FORCE_DO_CMD, $^) $(LIBS)
+
+
+# Define an escape_quotes function to escape single quotes.
+# This allows us to handle quotes properly as long as we always use
+# use single quotes and escape_quotes.
+escape_quotes = $(subst ','\'',$(1))
+# This comment is here just to include a ' to unconfuse syntax highlighting.
+# Define an escape_vars function to escape '$' variable syntax.
+# This allows us to read/write command lines with shell variables (e.g.
+# $LD_LIBRARY_PATH), without triggering make substitution.
+escape_vars = $(subst $$,$$$$,$(1))
+# Helper that expands to a shell command to echo a string exactly as it is in
+# make. This uses printf instead of echo because printf's behaviour with respect
+# to escape sequences is more portable than echo's across different shells
+# (e.g., dash, bash).
+exact_echo = printf '%s\n' '$(call escape_quotes,$(1))'
+
+# Helper to compare the command we're about to run against the command
+# we logged the last time we ran the command.  Produces an empty
+# string (false) when the commands match.
+# Tricky point: Make has no string-equality test function.
+# The kernel uses the following, but it seems like it would have false
+# positives, where one string reordered its arguments.
+#   arg_check = $(strip $(filter-out $(cmd_$(1)), $(cmd_$@)) \
+#                       $(filter-out $(cmd_$@), $(cmd_$(1))))
+# We instead substitute each for the empty string into the other, and
+# say they're equal if both substitutions produce the empty string.
+# .d files contain ? instead of spaces, take that into account.
+command_changed = $(or $(subst $(cmd_$(1)),,$(cmd_$(call replace_spaces,$@))),\
+                       $(subst $(cmd_$(call replace_spaces,$@)),,$(cmd_$(1))))
+
+# Helper that is non-empty when a prerequisite changes.
+# Normally make does this implicitly, but we force rules to always run
+# so we can check their command lines.
+#   $? -- new prerequisites
+#   $| -- order-only dependencies
+prereq_changed = $(filter-out FORCE_DO_CMD,$(filter-out $|,$?))
+
+# Helper that executes all postbuilds, and deletes the output file when done
+# if any of the postbuilds failed.
+define do_postbuilds
+  @E=0;\
+  for p in $(POSTBUILDS); do\
+    eval $$p;\
+    F=$$?;\
+    if [ $$F -ne 0 ]; then\
+      E=$$F;\
+    fi;\
+  done;\
+  if [ $$E -ne 0 ]; then\
+    rm -rf "$@";\
+    exit $$E;\
+  fi
+endef
+
+# do_cmd: run a command via the above cmd_foo names, if necessary.
+# Should always run for a given target to handle command-line changes.
+# Second argument, if non-zero, makes it do asm/C/C++ dependency munging.
+# Third argument, if non-zero, makes it do POSTBUILDS processing.
+# Note: We intentionally do NOT call dirx for depfile, since it contains ? for
+# spaces already and dirx strips the ? characters.
+define do_cmd
+$(if $(or $(command_changed),$(prereq_changed)),
+  @$(call exact_echo,  $($(quiet)cmd_$(1)))
+  @mkdir -p "$(call dirx,$@)" "$(dir $(depfile))"
+  $(if $(findstring flock,$(word 2,$(cmd_$1))),
+    @$(cmd_$(1))
+    @echo "  $(quiet_cmd_$(1)): Finished",
+    @$(cmd_$(1))
+  )
+  @$(call exact_echo,$(call escape_vars,cmd_$(call replace_spaces,$@) := $(cmd_$(1)))) > $(depfile)
+  @$(if $(2),$(fixup_dep))
+  $(if $(and $(3), $(POSTBUILDS)),
+    $(call do_postbuilds)
+  )
+)
+endef
+
+# Declare "all" target first so it is the default, even though we don't have the
+# deps yet.
+.PHONY: all
+all:
+
+# Use FORCE_DO_CMD to force a target to run.  Should be coupled with
+# do_cmd.
+.PHONY: FORCE_DO_CMD
+FORCE_DO_CMD:
+
+TOOLSET := target
+# Suffix rules, putting all outputs into $(obj).
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.m FORCE_DO_CMD
+       @$(call do_cmd,objc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.mm FORCE_DO_CMD
+       @$(call do_cmd,objcxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.m FORCE_DO_CMD
+       @$(call do_cmd,objc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.mm FORCE_DO_CMD
+       @$(call do_cmd,objcxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.m FORCE_DO_CMD
+       @$(call do_cmd,objc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.mm FORCE_DO_CMD
+       @$(call do_cmd,objcxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
+endif
+
+quiet_cmd_regen_makefile = ACTION Regenerating $@
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/mac" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=mac" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
+       $(call do_cmd,regen_makefile)
+
+# "all" is a concatenation of the "all" targets from all the included
+# sub-makefiles. This is just here to clarify.
+all:
+
+# Add in dependency-tracking rules.  $(all_deps) is the list of every single
+# target in our tree. Only consider the ones with .d (dependency) info:
+d_files := $(wildcard $(foreach f,$(all_deps),$(depsdir)/$(f).d))
+ifneq ($(d_files),)
+  # Rather than include each individual .d file, concatenate them into a
+  # single file which make is able to load faster.  We split this into
+  # commands that take 1000 files at a time to avoid overflowing the
+  # command line.
+  $(shell cat $(wordlist 1,1000,$(d_files)) > $(depsdir)/all.deps)
+
+  ifneq ($(word 1001,$(d_files)),)
+    $(error Found unprocessed dependency files (gyp didn't generate enough rules!))
+  endif
+
+  # make looks for ways to re-generate included makefiles, but in our case, we
+  # don't have a direct way. Explicitly telling make that it has nothing to do
+  # for them makes it go faster.
+  $(depsdir)/all.deps: ;
+
+  include $(depsdir)/all.deps
+endif
index 15272c03c13c29387a0c9bd5c8e38e2eff4462cd..7eb894b23ef66b97de39288e0fa4d5794b5e2a97 100755 (executable)
@@ -74,14 +74,12 @@ class MacTool(object):
     if not plist:
       return
 
-    # Only create PkgInfo for executable types.
-    package_type = plist['CFBundlePackageType']
-    if package_type != 'APPL':
-      return
-
     # The format of PkgInfo is eight characters, representing the bundle type
-    # and bundle signature, each four characters. If that is missing, four
+    # and bundle signature, each four characters. If either is missing, four
     # '?' characters are used instead.
+    package_type = plist['CFBundlePackageType']
+    if len(package_type) != 4:
+      package_type = '?' * 4
     signature_code = plist['CFBundleSignature']
     if len(signature_code) != 4:
       signature_code = '?' * 4
diff --git a/mk/libuv/ia32/mac/src/libuv/run-benchmarks.target.mk b/mk/libuv/ia32/mac/src/libuv/run-benchmarks.target.mk
new file mode 100644 (file)
index 0000000..64d150f
--- /dev/null
@@ -0,0 +1,97 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-benchmarks
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch i386
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/benchmark-ares.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-ping-pongs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pound.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pump.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-sizes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-tcp-write-batch.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-udp-packet-storm.o \
+       $(obj).target/$(TARGET)/src/libuv/test/dns-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-benchmarks.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(builddir)/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch i386 \
+       -L$(builddir)
+
+LIBS := -framework Carbon \
+       -framework CoreServices
+
+$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-benchmarks: LIBS := $(LIBS)
+$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
+$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
+$(builddir)/run-benchmarks: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-benchmarks
+# Add target alias
+.PHONY: run-benchmarks
+run-benchmarks: $(builddir)/run-benchmarks
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-benchmarks
+
diff --git a/mk/libuv/ia32/mac/src/libuv/run-tests.target.mk b/mk/libuv/ia32/mac/src/libuv/run-tests.target.mk
new file mode 100644 (file)
index 0000000..5a5730b
--- /dev/null
@@ -0,0 +1,131 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-tests
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch i386
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-tests.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-loadavg.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-async.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-callback-stack.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-connection-fail.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-delayed-accept.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fail-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-currentexe.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-memory.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getsockname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-hrtime.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-idle.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ipc.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-loop-handles.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-multiple-listen.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pass-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ping-pong.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ref.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-shutdown-eof.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-stdio-over-pipes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-close.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-flags.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-to-half-open-connection.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-writealot.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer-again.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tty.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-dgram-too-big.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-ipv6.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-send-and-recv.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-multicast-join.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(builddir)/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch i386 \
+       -L$(builddir)
+
+LIBS := -framework Carbon \
+       -framework CoreServices
+
+$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-tests: LIBS := $(LIBS)
+$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
+$(builddir)/run-tests: TOOLSET := $(TOOLSET)
+$(builddir)/run-tests: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-tests
+# Add target alias
+.PHONY: run-tests
+run-tests: $(builddir)/run-tests
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-tests
+
diff --git a/mk/libuv/ia32/mac/src/libuv/uv.Makefile b/mk/libuv/ia32/mac/src/libuv/uv.Makefile
new file mode 100644 (file)
index 0000000..cef4568
--- /dev/null
@@ -0,0 +1,6 @@
+# This file is generated by gyp; do not edit.
+
+export builddir_name ?= mk/libuv/ia32/mac/./src/libuv/out
+.PHONY: all
+all:
+       $(MAKE) -C ../.. uv run-benchmarks run-tests
diff --git a/mk/libuv/ia32/mac/src/libuv/uv.target.mk b/mk/libuv/ia32/mac/src/libuv/uv.target.mk
new file mode 100644 (file)
index 0000000..28f911f
--- /dev/null
@@ -0,0 +1,145 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := uv
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144' \
+       '-DHAVE_CONFIG_H' \
+       '-DEV_CONFIG_H="config_darwin.h"' \
+       '-DEIO_CONFIG_H="config_darwin.h"'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch i386
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include \
+       -I$(srcdir)/src/libuv/include/uv-private \
+       -I$(srcdir)/src/libuv/src \
+       -I$(srcdir)/src/libuv/src/unix/ev \
+       -I$(srcdir)/src/libuv/src/ares/config_darwin
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/src/uv-common.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_cancel.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__close_sockets.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_data.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_destroy.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_name.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_fds.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyaddr.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__get_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getnameinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getopt.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getsock.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_library_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_llist.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_mkquery.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_nowarn.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_options.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_aaaa_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_a_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_mx_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ns_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ptr_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_srv_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_txt_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_query.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__read_line.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_search.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_send.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strcasecmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strdup.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strerror.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_timeout.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__timeval.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_version.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_writev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/bitncmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_net_pton.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_ntop.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/windows_port.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/core.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/uv-eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/fs.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/udp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tcp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/pipe.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tty.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/stream.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/cares.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/dl.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/error.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/eio/eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/ev/ev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/darwin.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/kqueue.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch i386 \
+       -L$(builddir)
+
+LIBS := -lm
+
+$(builddir)/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/libuv.a: LIBS := $(LIBS)
+$(builddir)/libuv.a: TOOLSET := $(TOOLSET)
+$(builddir)/libuv.a: $(OBJS) FORCE_DO_CMD
+       $(call do_cmd,alink)
+
+all_deps += $(builddir)/libuv.a
+# Add target alias
+.PHONY: uv
+uv: $(builddir)/libuv.a
+
+# Add target alias to "all" target.
+.PHONY: all
+all: uv
+
diff --git a/mk/libuv/ia32/mac/src/rt/libuv/run-benchmarks.target.mk b/mk/libuv/ia32/mac/src/rt/libuv/run-benchmarks.target.mk
deleted file mode 100644 (file)
index 95fe809..0000000
+++ /dev/null
@@ -1,97 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-benchmarks
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -fasm-blocks \
-       -mpascal-strings \
-       -Os \
-       -gdwarf-2 \
-       -arch i386
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-# Flags passed to only ObjC files.
-CFLAGS_OBJC_Default := 
-
-# Flags passed to only ObjC++ files.
-CFLAGS_OBJCC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ping-pongs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pound.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pump.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-sizes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-tcp-write-batch.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-udp-packet-storm.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/dns-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-benchmarks.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(builddir)/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := -arch i386 \
-       -L$(builddir)
-
-LIBS := -framework Carbon \
-       -framework CoreServices
-
-$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-benchmarks: LIBS := $(LIBS)
-$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
-$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
-$(builddir)/run-benchmarks: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-benchmarks
-# Add target alias
-.PHONY: run-benchmarks
-run-benchmarks: $(builddir)/run-benchmarks
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-benchmarks
-
diff --git a/mk/libuv/ia32/mac/src/rt/libuv/run-tests.target.mk b/mk/libuv/ia32/mac/src/rt/libuv/run-tests.target.mk
deleted file mode 100644 (file)
index 6c607bb..0000000
+++ /dev/null
@@ -1,131 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-tests
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -fasm-blocks \
-       -mpascal-strings \
-       -Os \
-       -gdwarf-2 \
-       -arch i386
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-# Flags passed to only ObjC files.
-CFLAGS_OBJC_Default := 
-
-# Flags passed to only ObjC++ files.
-CFLAGS_OBJCC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-tests.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-loadavg.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-callback-stack.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-connection-fail.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-delayed-accept.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fail-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-currentexe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-memory.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getsockname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-hrtime.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-idle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ipc.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-loop-handles.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-multiple-listen.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pass-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ping-pong.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ref.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-shutdown-eof.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-stdio-over-pipes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-close.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-flags.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-to-half-open-connection.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-writealot.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer-again.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-dgram-too-big.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-ipv6.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-send-and-recv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-multicast-join.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(builddir)/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := -arch i386 \
-       -L$(builddir)
-
-LIBS := -framework Carbon \
-       -framework CoreServices
-
-$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-tests: LIBS := $(LIBS)
-$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
-$(builddir)/run-tests: TOOLSET := $(TOOLSET)
-$(builddir)/run-tests: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-tests
-# Add target alias
-.PHONY: run-tests
-run-tests: $(builddir)/run-tests
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-tests
-
diff --git a/mk/libuv/ia32/mac/src/rt/libuv/uv.Makefile b/mk/libuv/ia32/mac/src/rt/libuv/uv.Makefile
deleted file mode 100644 (file)
index e1ee2fa..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-export builddir_name ?= mk/libuv/ia32/mac/./src/rt/libuv/out
-.PHONY: all
-all:
-       $(MAKE) -C ../../.. uv run-tests run-benchmarks
diff --git a/mk/libuv/ia32/mac/src/rt/libuv/uv.target.mk b/mk/libuv/ia32/mac/src/rt/libuv/uv.target.mk
deleted file mode 100644 (file)
index 5fe962a..0000000
+++ /dev/null
@@ -1,145 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := uv
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144' \
-       '-DHAVE_CONFIG_H' \
-       '-DEV_CONFIG_H="config_darwin.h"' \
-       '-DEIO_CONFIG_H="config_darwin.h"'
-
-# Flags passed to all source files.
-CFLAGS_Default := -fasm-blocks \
-       -mpascal-strings \
-       -Os \
-       -gdwarf-2 \
-       -arch i386
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-# Flags passed to only ObjC files.
-CFLAGS_OBJC_Default := 
-
-# Flags passed to only ObjC++ files.
-CFLAGS_OBJCC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include \
-       -I$(srcdir)/src/rt/libuv/include/uv-private \
-       -I$(srcdir)/src/rt/libuv/src \
-       -I$(srcdir)/src/rt/libuv/src/unix/ev \
-       -I$(srcdir)/src/rt/libuv/src/ares/config_darwin
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/src/uv-common.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_cancel.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__close_sockets.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_data.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_destroy.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_name.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_fds.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyaddr.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__get_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getnameinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getopt.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getsock.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_library_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_llist.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_mkquery.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_nowarn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_options.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_aaaa_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_a_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_mx_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ns_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ptr_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_srv_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_txt_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_query.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__read_line.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_search.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_send.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strcasecmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strdup.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strerror.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_timeout.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__timeval.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_version.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_writev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/bitncmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_net_pton.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_ntop.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/windows_port.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/core.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/uv-eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/udp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tcp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/pipe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/stream.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/cares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/dl.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/eio/eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/ev/ev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/darwin.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/kqueue.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := -arch i386 \
-       -L$(builddir)
-
-LIBS := -lm
-
-$(builddir)/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/libuv.a: LIBS := $(LIBS)
-$(builddir)/libuv.a: TOOLSET := $(TOOLSET)
-$(builddir)/libuv.a: $(OBJS) FORCE_DO_CMD
-       $(call do_cmd,alink)
-
-all_deps += $(builddir)/libuv.a
-# Add target alias
-.PHONY: uv
-uv: $(builddir)/libuv.a
-
-# Add target alias to "all" target.
-.PHONY: all
-all: uv
-
index ea0e20a9a13b32e16906869105d07ac30cf5caac..66a9e7d2058215c3eef4ee7178c7fbbed87dd9a2 100644 (file)
@@ -307,23 +307,23 @@ $(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
 
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-benchmarks.target.mk)))),)
-  include src/rt/libuv/run-benchmarks.target.mk
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-tests.target.mk)))),)
-  include src/rt/libuv/run-tests.target.mk
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/uv.target.mk)))),)
-  include src/rt/libuv/uv.target.mk
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
 endif
 
 quiet_cmd_regen_makefile = ACTION Regenerating $@
-cmd_regen_makefile = ./src/rt/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/unix" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=linux" src/rt/libuv/uv.gyp
-#Makefile: $(srcdir)/src/rt/libuv/uv.gyp
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/unix" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=linux" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
 #      $(call do_cmd,regen_makefile)
 
 # "all" is a concatenation of the "all" targets from all the included
diff --git a/mk/libuv/ia32/unix/Makefile-e b/mk/libuv/ia32/unix/Makefile-e
new file mode 100644 (file)
index 0000000..ffbb106
--- /dev/null
@@ -0,0 +1,353 @@
+# We borrow heavily from the kernel build setup, though we are simpler since
+# we don't have Kconfig tweaking settings on us.
+
+# The implicit make rules have it looking for RCS files, among other things.
+# We instead explicitly write all the rules we care about.
+# It's even quicker (saves ~200ms) to pass -r on the command line.
+MAKEFLAGS=-r
+
+# The source directory tree.
+srcdir := ../../../..
+
+# The name of the builddir.
+builddir_name ?= out
+
+# The V=1 flag on command line makes us verbosely print command lines.
+ifdef V
+  quiet=
+else
+  quiet=quiet_
+endif
+
+# Specify BUILDTYPE=Release on the command line for a release build.
+BUILDTYPE ?= Default
+
+# Directory all our build output goes into.
+# Note that this must be two directories beneath src/ for unit tests to pass,
+# as they reach into the src/ directory for data with relative paths.
+builddir ?= $(builddir_name)/$(BUILDTYPE)
+abs_builddir := $(abspath $(builddir))
+depsdir := $(builddir)/.deps
+
+# Object output directory.
+obj := $(builddir)/obj
+abs_obj := $(abspath $(obj))
+
+# We build up a list of every single one of the targets so we can slurp in the
+# generated dependency rule Makefiles in one pass.
+all_deps :=
+
+
+
+# C++ apps need to be linked with g++.
+#
+# Note: flock is used to seralize linking. Linking is a memory-intensive
+# process so running parallel links can often lead to thrashing.  To disable
+# the serialization, override LINK via an envrionment variable as follows:
+#
+#   export LINK=g++
+#
+# This will allow make to invoke N linker processes as specified in -jN.
+LINK ?= flock $(builddir)/linker.lock $(CXX)
+
+CC.target ?= $(CC)
+CFLAGS.target ?= $(CFLAGS)
+CXX.target ?= $(CXX)
+CXXFLAGS.target ?= $(CXXFLAGS)
+LINK.target ?= $(LINK)
+LDFLAGS.target ?= $(LDFLAGS) 
+AR.target ?= $(AR)
+ARFLAGS.target ?= crsT
+
+# N.B.: the logic of which commands to run should match the computation done
+# in gyp's make.py where ARFLAGS.host etc. is computed.
+# TODO(evan): move all cross-compilation logic to gyp-time so we don't need
+# to replicate this environment fallback in make as well.
+CC.host ?= gcc
+CFLAGS.host ?=
+CXX.host ?= g++
+CXXFLAGS.host ?=
+LINK.host ?= g++
+LDFLAGS.host ?=
+AR.host ?= ar
+ARFLAGS.host := crsT
+
+# Define a dir function that can handle spaces.
+# http://www.gnu.org/software/make/manual/make.html#Syntax-of-Functions
+# "leading spaces cannot appear in the text of the first argument as written.
+# These characters can be put into the argument value by variable substitution."
+empty :=
+space := $(empty) $(empty)
+
+# http://stackoverflow.com/questions/1189781/using-make-dir-or-notdir-on-a-path-with-spaces
+replace_spaces = $(subst $(space),?,$1)
+unreplace_spaces = $(subst ?,$(space),$1)
+dirx = $(call unreplace_spaces,$(dir $(call replace_spaces,$1)))
+
+# Flags to make gcc output dependency info.  Note that you need to be
+# careful here to use the flags that ccache and distcc can understand.
+# We write to a dep file on the side first and then rename at the end
+# so we can't end up with a broken dep file.
+depfile = $(depsdir)/$(call replace_spaces,$@).d
+DEPFLAGS = -MMD -MF $(depfile).raw
+
+# We have to fixup the deps output in a few ways.
+# (1) the file output should mention the proper .o file.
+# ccache or distcc lose the path to the target, so we convert a rule of
+# the form:
+#   foobar.o: DEP1 DEP2
+# into
+#   path/to/foobar.o: DEP1 DEP2
+# (2) we want missing files not to cause us to fail to build.
+# We want to rewrite
+#   foobar.o: DEP1 DEP2 \
+#               DEP3
+# to
+#   DEP1:
+#   DEP2:
+#   DEP3:
+# so if the files are missing, they're just considered phony rules.
+# We have to do some pretty insane escaping to get those backslashes
+# and dollar signs past make, the shell, and sed at the same time.
+# Doesn't work with spaces, but that's fine: .d files have spaces in
+# their names replaced with other characters.
+define fixup_dep
+# The depfile may not exist if the input file didn't have any #includes.
+touch $(depfile).raw
+# Fixup path as in (1).
+sed -e "s|^$(notdir $@)|$@|" $(depfile).raw >> $(depfile)
+# Add extra rules as in (2).
+# We remove slashes and replace spaces with new lines;
+# remove blank lines;
+# delete the first line and append a colon to the remaining lines.
+sed -e 's|\\||' -e 'y| |\n|' $(depfile).raw |\
+  grep -v '^$$'                             |\
+  sed -e 1d -e 's|$$|:|'                     \
+    >> $(depfile)
+rm $(depfile).raw
+endef
+
+# Command definitions:
+# - cmd_foo is the actual command to run;
+# - quiet_cmd_foo is the brief-output summary of the command.
+
+quiet_cmd_cc = CC($(TOOLSET)) $@
+cmd_cc = $(CC.$(TOOLSET)) $(GYP_CFLAGS) $(DEPFLAGS) $(CFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_cxx = CXX($(TOOLSET)) $@
+cmd_cxx = $(CXX.$(TOOLSET)) $(GYP_CXXFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_touch = TOUCH $@
+cmd_touch = touch $@
+
+quiet_cmd_copy = COPY $@
+# send stderr to /dev/null to ignore messages when linking directories.
+cmd_copy = ln -f "$<" "$@" 2>/dev/null || (rm -rf "$@" && cp -af "$<" "$@")
+
+quiet_cmd_alink = AR($(TOOLSET)) $@
+cmd_alink = rm -f $@ && $(AR.$(TOOLSET)) $(ARFLAGS.$(TOOLSET)) $@ $(filter %.o,$^)
+
+# Due to circular dependencies between libraries :(, we wrap the
+# special "figure out circular dependencies" flags around the entire
+# input list during linking.
+quiet_cmd_link = LINK($(TOOLSET)) $@
+cmd_link = $(LINK.$(TOOLSET)) $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o $@ -Wl,--start-group $(LD_INPUTS) -Wl,--end-group $(LIBS)
+
+# We support two kinds of shared objects (.so):
+# 1) shared_library, which is just bundling together many dependent libraries
+# into a link line.
+# 2) loadable_module, which is generating a module intended for dlopen().
+#
+# They differ only slightly:
+# In the former case, we want to package all dependent code into the .so.
+# In the latter case, we want to package just the API exposed by the
+# outermost module.
+# This means shared_library uses --whole-archive, while loadable_module doesn't.
+# (Note that --whole-archive is incompatible with the --start-group used in
+# normal linking.)
+
+# Other shared-object link notes:
+# - Set SONAME to the library filename so our binaries don't reference
+# the local, absolute paths used on the link command-line.
+quiet_cmd_solink = SOLINK($(TOOLSET)) $@
+cmd_solink = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--whole-archive $(LD_INPUTS) -Wl,--no-whole-archive $(LIBS)
+
+quiet_cmd_solink_module = SOLINK_MODULE($(TOOLSET)) $@
+cmd_solink_module = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--start-group $(filter-out FORCE_DO_CMD, $^) -Wl,--end-group $(LIBS)
+
+
+# Define an escape_quotes function to escape single quotes.
+# This allows us to handle quotes properly as long as we always use
+# use single quotes and escape_quotes.
+escape_quotes = $(subst ','\'',$(1))
+# This comment is here just to include a ' to unconfuse syntax highlighting.
+# Define an escape_vars function to escape '$' variable syntax.
+# This allows us to read/write command lines with shell variables (e.g.
+# $LD_LIBRARY_PATH), without triggering make substitution.
+escape_vars = $(subst $$,$$$$,$(1))
+# Helper that expands to a shell command to echo a string exactly as it is in
+# make. This uses printf instead of echo because printf's behaviour with respect
+# to escape sequences is more portable than echo's across different shells
+# (e.g., dash, bash).
+exact_echo = printf '%s\n' '$(call escape_quotes,$(1))'
+
+# Helper to compare the command we're about to run against the command
+# we logged the last time we ran the command.  Produces an empty
+# string (false) when the commands match.
+# Tricky point: Make has no string-equality test function.
+# The kernel uses the following, but it seems like it would have false
+# positives, where one string reordered its arguments.
+#   arg_check = $(strip $(filter-out $(cmd_$(1)), $(cmd_$@)) \
+#                       $(filter-out $(cmd_$@), $(cmd_$(1))))
+# We instead substitute each for the empty string into the other, and
+# say they're equal if both substitutions produce the empty string.
+# .d files contain ? instead of spaces, take that into account.
+command_changed = $(or $(subst $(cmd_$(1)),,$(cmd_$(call replace_spaces,$@))),\
+                       $(subst $(cmd_$(call replace_spaces,$@)),,$(cmd_$(1))))
+
+# Helper that is non-empty when a prerequisite changes.
+# Normally make does this implicitly, but we force rules to always run
+# so we can check their command lines.
+#   $? -- new prerequisites
+#   $| -- order-only dependencies
+prereq_changed = $(filter-out FORCE_DO_CMD,$(filter-out $|,$?))
+
+# Helper that executes all postbuilds, and deletes the output file when done
+# if any of the postbuilds failed.
+define do_postbuilds
+  @E=0;\
+  for p in $(POSTBUILDS); do\
+    eval $$p;\
+    F=$$?;\
+    if [ $$F -ne 0 ]; then\
+      E=$$F;\
+    fi;\
+  done;\
+  if [ $$E -ne 0 ]; then\
+    rm -rf "$@";\
+    exit $$E;\
+  fi
+endef
+
+# do_cmd: run a command via the above cmd_foo names, if necessary.
+# Should always run for a given target to handle command-line changes.
+# Second argument, if non-zero, makes it do asm/C/C++ dependency munging.
+# Third argument, if non-zero, makes it do POSTBUILDS processing.
+# Note: We intentionally do NOT call dirx for depfile, since it contains ? for
+# spaces already and dirx strips the ? characters.
+define do_cmd
+$(if $(or $(command_changed),$(prereq_changed)),
+  @$(call exact_echo,  $($(quiet)cmd_$(1)))
+  @mkdir -p "$(call dirx,$@)" "$(dir $(depfile))"
+  $(if $(findstring flock,$(word 1,$(cmd_$1))),
+    @$(cmd_$(1))
+    @echo "  $(quiet_cmd_$(1)): Finished",
+    @$(cmd_$(1))
+  )
+  @$(call exact_echo,$(call escape_vars,cmd_$(call replace_spaces,$@) := $(cmd_$(1)))) > $(depfile)
+  @$(if $(2),$(fixup_dep))
+  $(if $(and $(3), $(POSTBUILDS)),
+    $(call do_postbuilds)
+  )
+)
+endef
+
+# Declare "all" target first so it is the default, even though we don't have the
+# deps yet.
+.PHONY: all
+all:
+
+# Use FORCE_DO_CMD to force a target to run.  Should be coupled with
+# do_cmd.
+.PHONY: FORCE_DO_CMD
+FORCE_DO_CMD:
+
+TOOLSET := target
+# Suffix rules, putting all outputs into $(obj).
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
+endif
+
+quiet_cmd_regen_makefile = ACTION Regenerating $@
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/unix" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=linux" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
+       $(call do_cmd,regen_makefile)
+
+# "all" is a concatenation of the "all" targets from all the included
+# sub-makefiles. This is just here to clarify.
+all:
+
+# Add in dependency-tracking rules.  $(all_deps) is the list of every single
+# target in our tree. Only consider the ones with .d (dependency) info:
+d_files := $(wildcard $(foreach f,$(all_deps),$(depsdir)/$(f).d))
+ifneq ($(d_files),)
+  # Rather than include each individual .d file, concatenate them into a
+  # single file which make is able to load faster.  We split this into
+  # commands that take 1000 files at a time to avoid overflowing the
+  # command line.
+  $(shell cat $(wordlist 1,1000,$(d_files)) > $(depsdir)/all.deps)
+
+  ifneq ($(word 1001,$(d_files)),)
+    $(error Found unprocessed dependency files (gyp didn't generate enough rules!))
+  endif
+
+  # make looks for ways to re-generate included makefiles, but in our case, we
+  # don't have a direct way. Explicitly telling make that it has nothing to do
+  # for them makes it go faster.
+  $(depsdir)/all.deps: ;
+
+  include $(depsdir)/all.deps
+endif
diff --git a/mk/libuv/ia32/unix/src/libuv/run-benchmarks.target.mk b/mk/libuv/ia32/unix/src/libuv/run-benchmarks.target.mk
new file mode 100644 (file)
index 0000000..b5680d2
--- /dev/null
@@ -0,0 +1,83 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-benchmarks
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -pthread
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/benchmark-ares.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-ping-pongs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pound.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pump.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-sizes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-tcp-write-batch.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-udp-packet-storm.o \
+       $(obj).target/$(TARGET)/src/libuv/test/dns-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-benchmarks.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := -lrt
+
+$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-benchmarks: LIBS := $(LIBS)
+$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
+$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-benchmarks
+# Add target alias
+.PHONY: run-benchmarks
+run-benchmarks: $(builddir)/run-benchmarks
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-benchmarks
+
diff --git a/mk/libuv/ia32/unix/src/libuv/run-tests.target.mk b/mk/libuv/ia32/unix/src/libuv/run-tests.target.mk
new file mode 100644 (file)
index 0000000..34bc855
--- /dev/null
@@ -0,0 +1,117 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-tests
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -pthread
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-tests.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-loadavg.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-async.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-callback-stack.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-connection-fail.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-delayed-accept.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fail-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-currentexe.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-memory.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getsockname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-hrtime.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-idle.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ipc.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-loop-handles.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-multiple-listen.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pass-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ping-pong.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ref.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-shutdown-eof.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-stdio-over-pipes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-close.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-flags.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-to-half-open-connection.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-writealot.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer-again.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tty.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-dgram-too-big.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-ipv6.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-send-and-recv.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-multicast-join.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := -lrt
+
+$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-tests: LIBS := $(LIBS)
+$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-tests: TOOLSET := $(TOOLSET)
+$(builddir)/run-tests: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-tests
+# Add target alias
+.PHONY: run-tests
+run-tests: $(builddir)/run-tests
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-tests
+
diff --git a/mk/libuv/ia32/unix/src/libuv/uv.Makefile b/mk/libuv/ia32/unix/src/libuv/uv.Makefile
new file mode 100644 (file)
index 0000000..95dc4e6
--- /dev/null
@@ -0,0 +1,6 @@
+# This file is generated by gyp; do not edit.
+
+export builddir_name ?= mk/libuv/ia32/unix/./src/libuv/out
+.PHONY: all
+all:
+       $(MAKE) -C ../.. uv run-benchmarks run-tests
diff --git a/mk/libuv/ia32/unix/src/libuv/uv.target.mk b/mk/libuv/ia32/unix/src/libuv/uv.target.mk
new file mode 100644 (file)
index 0000000..65c2cf1
--- /dev/null
@@ -0,0 +1,137 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := uv
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144' \
+       '-DHAVE_CONFIG_H' \
+       '-DEV_CONFIG_H="config_linux.h"' \
+       '-DEIO_CONFIG_H="config_linux.h"'
+
+# Flags passed to all source files.
+CFLAGS_Default := -pthread \
+       -g \
+       --std=gnu89 \
+       -pedantic \
+       -Wall \
+       -Wextra \
+       -Wno-unused-parameter
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include \
+       -I$(srcdir)/src/libuv/include/uv-private \
+       -I$(srcdir)/src/libuv/src \
+       -I$(srcdir)/src/libuv/src/unix/ev \
+       -I$(srcdir)/src/libuv/src/ares/config_linux
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/src/uv-common.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_cancel.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__close_sockets.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_data.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_destroy.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_name.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_fds.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyaddr.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__get_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getnameinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getopt.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getsock.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_library_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_llist.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_mkquery.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_nowarn.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_options.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_aaaa_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_a_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_mx_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ns_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ptr_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_srv_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_txt_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_query.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__read_line.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_search.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_send.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strcasecmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strdup.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strerror.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_timeout.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__timeval.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_version.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_writev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/bitncmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_net_pton.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_ntop.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/windows_port.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/core.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/uv-eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/fs.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/udp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tcp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/pipe.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tty.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/stream.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/cares.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/dl.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/error.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/eio/eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/ev/ev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/linux.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := -lm
+
+$(obj).target/src/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(obj).target/src/libuv/libuv.a: LIBS := $(LIBS)
+$(obj).target/src/libuv/libuv.a: TOOLSET := $(TOOLSET)
+$(obj).target/src/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
+       $(call do_cmd,alink)
+
+all_deps += $(obj).target/src/libuv/libuv.a
+# Add target alias
+.PHONY: uv
+uv: $(obj).target/src/libuv/libuv.a
+
+# Add target alias to "all" target.
+.PHONY: all
+all: uv
+
diff --git a/mk/libuv/ia32/unix/src/rt/libuv/run-benchmarks.target.mk b/mk/libuv/ia32/unix/src/rt/libuv/run-benchmarks.target.mk
deleted file mode 100644 (file)
index e3a114b..0000000
+++ /dev/null
@@ -1,83 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-benchmarks
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -pthread
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ping-pongs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pound.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pump.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-sizes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-tcp-write-batch.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-udp-packet-storm.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/dns-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-benchmarks.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := -lrt
-
-$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-benchmarks: LIBS := $(LIBS)
-$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
-$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-benchmarks
-# Add target alias
-.PHONY: run-benchmarks
-run-benchmarks: $(builddir)/run-benchmarks
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-benchmarks
-
diff --git a/mk/libuv/ia32/unix/src/rt/libuv/run-tests.target.mk b/mk/libuv/ia32/unix/src/rt/libuv/run-tests.target.mk
deleted file mode 100644 (file)
index 4fc85cc..0000000
+++ /dev/null
@@ -1,117 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-tests
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -pthread
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-tests.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-loadavg.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-callback-stack.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-connection-fail.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-delayed-accept.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fail-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-currentexe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-memory.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getsockname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-hrtime.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-idle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ipc.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-loop-handles.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-multiple-listen.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pass-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ping-pong.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ref.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-shutdown-eof.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-stdio-over-pipes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-close.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-flags.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-to-half-open-connection.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-writealot.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer-again.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-dgram-too-big.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-ipv6.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-send-and-recv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-multicast-join.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := -lrt
-
-$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-tests: LIBS := $(LIBS)
-$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-tests: TOOLSET := $(TOOLSET)
-$(builddir)/run-tests: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-tests
-# Add target alias
-.PHONY: run-tests
-run-tests: $(builddir)/run-tests
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-tests
-
diff --git a/mk/libuv/ia32/unix/src/rt/libuv/uv.Makefile b/mk/libuv/ia32/unix/src/rt/libuv/uv.Makefile
deleted file mode 100644 (file)
index 6b2f5ea..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-export builddir_name ?= mk/libuv/ia32/unix/./src/rt/libuv/out
-.PHONY: all
-all:
-       $(MAKE) -C ../../.. uv run-tests run-benchmarks
diff --git a/mk/libuv/ia32/unix/src/rt/libuv/uv.target.mk b/mk/libuv/ia32/unix/src/rt/libuv/uv.target.mk
deleted file mode 100644 (file)
index fa97673..0000000
+++ /dev/null
@@ -1,137 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := uv
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144' \
-       '-DHAVE_CONFIG_H' \
-       '-DEV_CONFIG_H="config_linux.h"' \
-       '-DEIO_CONFIG_H="config_linux.h"'
-
-# Flags passed to all source files.
-CFLAGS_Default := -pthread \
-       -g \
-       --std=gnu89 \
-       -pedantic \
-       -Wall \
-       -Wextra \
-       -Wno-unused-parameter
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include \
-       -I$(srcdir)/src/rt/libuv/include/uv-private \
-       -I$(srcdir)/src/rt/libuv/src \
-       -I$(srcdir)/src/rt/libuv/src/unix/ev \
-       -I$(srcdir)/src/rt/libuv/src/ares/config_linux
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/src/uv-common.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_cancel.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__close_sockets.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_data.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_destroy.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_name.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_fds.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyaddr.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__get_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getnameinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getopt.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getsock.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_library_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_llist.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_mkquery.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_nowarn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_options.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_aaaa_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_a_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_mx_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ns_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ptr_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_srv_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_txt_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_query.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__read_line.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_search.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_send.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strcasecmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strdup.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strerror.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_timeout.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__timeval.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_version.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_writev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/bitncmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_net_pton.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_ntop.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/windows_port.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/core.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/uv-eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/udp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tcp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/pipe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/stream.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/cares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/dl.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/eio/eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/ev/ev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/linux.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := -lm
-
-$(obj).target/src/rt/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(obj).target/src/rt/libuv/libuv.a: LIBS := $(LIBS)
-$(obj).target/src/rt/libuv/libuv.a: TOOLSET := $(TOOLSET)
-$(obj).target/src/rt/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
-       $(call do_cmd,alink)
-
-all_deps += $(obj).target/src/rt/libuv/libuv.a
-# Add target alias
-.PHONY: uv
-uv: $(obj).target/src/rt/libuv/libuv.a
-
-# Add target alias to "all" target.
-.PHONY: all
-all: uv
-
index 06fe8946dfc60c16077a476d9ed3f9f48853d933..d24668d336d69fe039c13c7e2004069f07b1d516 100644 (file)
@@ -307,23 +307,23 @@ $(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
 
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-benchmarks.target.mk)))),)
-  include src/rt/libuv/run-benchmarks.target.mk
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-tests.target.mk)))),)
-  include src/rt/libuv/run-tests.target.mk
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/uv.target.mk)))),)
-  include src/rt/libuv/uv.target.mk
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
 endif
 
 quiet_cmd_regen_makefile = ACTION Regenerating $@
-cmd_regen_makefile = ./src/rt/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/win" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=win" src/rt/libuv/uv.gyp
-#Makefile: $(srcdir)/src/rt/libuv/uv.gyp
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/win" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=win" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
 #      $(call do_cmd,regen_makefile)
 
 # "all" is a concatenation of the "all" targets from all the included
diff --git a/mk/libuv/ia32/win/Makefile-e b/mk/libuv/ia32/win/Makefile-e
new file mode 100644 (file)
index 0000000..4c05b46
--- /dev/null
@@ -0,0 +1,353 @@
+# We borrow heavily from the kernel build setup, though we are simpler since
+# we don't have Kconfig tweaking settings on us.
+
+# The implicit make rules have it looking for RCS files, among other things.
+# We instead explicitly write all the rules we care about.
+# It's even quicker (saves ~200ms) to pass -r on the command line.
+MAKEFLAGS=-r
+
+# The source directory tree.
+srcdir := ../../../..
+
+# The name of the builddir.
+builddir_name ?= out
+
+# The V=1 flag on command line makes us verbosely print command lines.
+ifdef V
+  quiet=
+else
+  quiet=quiet_
+endif
+
+# Specify BUILDTYPE=Release on the command line for a release build.
+BUILDTYPE ?= Default
+
+# Directory all our build output goes into.
+# Note that this must be two directories beneath src/ for unit tests to pass,
+# as they reach into the src/ directory for data with relative paths.
+builddir ?= $(builddir_name)/$(BUILDTYPE)
+abs_builddir := $(abspath $(builddir))
+depsdir := $(builddir)/.deps
+
+# Object output directory.
+obj := $(builddir)/obj
+abs_obj := $(abspath $(obj))
+
+# We build up a list of every single one of the targets so we can slurp in the
+# generated dependency rule Makefiles in one pass.
+all_deps :=
+
+
+
+# C++ apps need to be linked with g++.
+#
+# Note: flock is used to seralize linking. Linking is a memory-intensive
+# process so running parallel links can often lead to thrashing.  To disable
+# the serialization, override LINK via an envrionment variable as follows:
+#
+#   export LINK=g++
+#
+# This will allow make to invoke N linker processes as specified in -jN.
+LINK ?= flock $(builddir)/linker.lock $(CXX)
+
+CC.target ?= $(CC)
+CFLAGS.target ?= $(CFLAGS)
+CXX.target ?= $(CXX)
+CXXFLAGS.target ?= $(CXXFLAGS)
+LINK.target ?= $(LINK)
+LDFLAGS.target ?= $(LDFLAGS) 
+AR.target ?= $(AR)
+ARFLAGS.target ?= crsT
+
+# N.B.: the logic of which commands to run should match the computation done
+# in gyp's make.py where ARFLAGS.host etc. is computed.
+# TODO(evan): move all cross-compilation logic to gyp-time so we don't need
+# to replicate this environment fallback in make as well.
+CC.host ?= gcc
+CFLAGS.host ?=
+CXX.host ?= g++
+CXXFLAGS.host ?=
+LINK.host ?= g++
+LDFLAGS.host ?=
+AR.host ?= ar
+ARFLAGS.host := crsT
+
+# Define a dir function that can handle spaces.
+# http://www.gnu.org/software/make/manual/make.html#Syntax-of-Functions
+# "leading spaces cannot appear in the text of the first argument as written.
+# These characters can be put into the argument value by variable substitution."
+empty :=
+space := $(empty) $(empty)
+
+# http://stackoverflow.com/questions/1189781/using-make-dir-or-notdir-on-a-path-with-spaces
+replace_spaces = $(subst $(space),?,$1)
+unreplace_spaces = $(subst ?,$(space),$1)
+dirx = $(call unreplace_spaces,$(dir $(call replace_spaces,$1)))
+
+# Flags to make gcc output dependency info.  Note that you need to be
+# careful here to use the flags that ccache and distcc can understand.
+# We write to a dep file on the side first and then rename at the end
+# so we can't end up with a broken dep file.
+depfile = $(depsdir)/$(call replace_spaces,$@).d
+DEPFLAGS = -MMD -MF $(depfile).raw
+
+# We have to fixup the deps output in a few ways.
+# (1) the file output should mention the proper .o file.
+# ccache or distcc lose the path to the target, so we convert a rule of
+# the form:
+#   foobar.o: DEP1 DEP2
+# into
+#   path/to/foobar.o: DEP1 DEP2
+# (2) we want missing files not to cause us to fail to build.
+# We want to rewrite
+#   foobar.o: DEP1 DEP2 \
+#               DEP3
+# to
+#   DEP1:
+#   DEP2:
+#   DEP3:
+# so if the files are missing, they're just considered phony rules.
+# We have to do some pretty insane escaping to get those backslashes
+# and dollar signs past make, the shell, and sed at the same time.
+# Doesn't work with spaces, but that's fine: .d files have spaces in
+# their names replaced with other characters.
+define fixup_dep
+# The depfile may not exist if the input file didn't have any #includes.
+touch $(depfile).raw
+# Fixup path as in (1).
+sed -e "s|^$(notdir $@)|$@|" $(depfile).raw >> $(depfile)
+# Add extra rules as in (2).
+# We remove slashes and replace spaces with new lines;
+# remove blank lines;
+# delete the first line and append a colon to the remaining lines.
+sed -e 's|\\||' -e 'y| |\n|' $(depfile).raw |\
+  grep -v '^$$'                             |\
+  sed -e 1d -e 's|$$|:|'                     \
+    >> $(depfile)
+rm $(depfile).raw
+endef
+
+# Command definitions:
+# - cmd_foo is the actual command to run;
+# - quiet_cmd_foo is the brief-output summary of the command.
+
+quiet_cmd_cc = CC($(TOOLSET)) $@
+cmd_cc = $(CC.$(TOOLSET)) $(GYP_CFLAGS) $(DEPFLAGS) $(CFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_cxx = CXX($(TOOLSET)) $@
+cmd_cxx = $(CXX.$(TOOLSET)) $(GYP_CXXFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_touch = TOUCH $@
+cmd_touch = touch $@
+
+quiet_cmd_copy = COPY $@
+# send stderr to /dev/null to ignore messages when linking directories.
+cmd_copy = ln -f "$<" "$@" 2>/dev/null || (rm -rf "$@" && cp -af "$<" "$@")
+
+quiet_cmd_alink = AR($(TOOLSET)) $@
+cmd_alink = rm -f $@ && $(AR.$(TOOLSET)) $(ARFLAGS.$(TOOLSET)) $@ $(filter %.o,$^)
+
+# Due to circular dependencies between libraries :(, we wrap the
+# special "figure out circular dependencies" flags around the entire
+# input list during linking.
+quiet_cmd_link = LINK($(TOOLSET)) $@
+cmd_link = $(LINK.$(TOOLSET)) $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o $@ -Wl,--start-group $(LD_INPUTS) -Wl,--end-group $(LIBS)
+
+# We support two kinds of shared objects (.so):
+# 1) shared_library, which is just bundling together many dependent libraries
+# into a link line.
+# 2) loadable_module, which is generating a module intended for dlopen().
+#
+# They differ only slightly:
+# In the former case, we want to package all dependent code into the .so.
+# In the latter case, we want to package just the API exposed by the
+# outermost module.
+# This means shared_library uses --whole-archive, while loadable_module doesn't.
+# (Note that --whole-archive is incompatible with the --start-group used in
+# normal linking.)
+
+# Other shared-object link notes:
+# - Set SONAME to the library filename so our binaries don't reference
+# the local, absolute paths used on the link command-line.
+quiet_cmd_solink = SOLINK($(TOOLSET)) $@
+cmd_solink = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--whole-archive $(LD_INPUTS) -Wl,--no-whole-archive $(LIBS)
+
+quiet_cmd_solink_module = SOLINK_MODULE($(TOOLSET)) $@
+cmd_solink_module = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--start-group $(filter-out FORCE_DO_CMD, $^) -Wl,--end-group $(LIBS)
+
+
+# Define an escape_quotes function to escape single quotes.
+# This allows us to handle quotes properly as long as we always use
+# use single quotes and escape_quotes.
+escape_quotes = $(subst ','\'',$(1))
+# This comment is here just to include a ' to unconfuse syntax highlighting.
+# Define an escape_vars function to escape '$' variable syntax.
+# This allows us to read/write command lines with shell variables (e.g.
+# $LD_LIBRARY_PATH), without triggering make substitution.
+escape_vars = $(subst $$,$$$$,$(1))
+# Helper that expands to a shell command to echo a string exactly as it is in
+# make. This uses printf instead of echo because printf's behaviour with respect
+# to escape sequences is more portable than echo's across different shells
+# (e.g., dash, bash).
+exact_echo = printf '%s\n' '$(call escape_quotes,$(1))'
+
+# Helper to compare the command we're about to run against the command
+# we logged the last time we ran the command.  Produces an empty
+# string (false) when the commands match.
+# Tricky point: Make has no string-equality test function.
+# The kernel uses the following, but it seems like it would have false
+# positives, where one string reordered its arguments.
+#   arg_check = $(strip $(filter-out $(cmd_$(1)), $(cmd_$@)) \
+#                       $(filter-out $(cmd_$@), $(cmd_$(1))))
+# We instead substitute each for the empty string into the other, and
+# say they're equal if both substitutions produce the empty string.
+# .d files contain ? instead of spaces, take that into account.
+command_changed = $(or $(subst $(cmd_$(1)),,$(cmd_$(call replace_spaces,$@))),\
+                       $(subst $(cmd_$(call replace_spaces,$@)),,$(cmd_$(1))))
+
+# Helper that is non-empty when a prerequisite changes.
+# Normally make does this implicitly, but we force rules to always run
+# so we can check their command lines.
+#   $? -- new prerequisites
+#   $| -- order-only dependencies
+prereq_changed = $(filter-out FORCE_DO_CMD,$(filter-out $|,$?))
+
+# Helper that executes all postbuilds, and deletes the output file when done
+# if any of the postbuilds failed.
+define do_postbuilds
+  @E=0;\
+  for p in $(POSTBUILDS); do\
+    eval $$p;\
+    F=$$?;\
+    if [ $$F -ne 0 ]; then\
+      E=$$F;\
+    fi;\
+  done;\
+  if [ $$E -ne 0 ]; then\
+    rm -rf "$@";\
+    exit $$E;\
+  fi
+endef
+
+# do_cmd: run a command via the above cmd_foo names, if necessary.
+# Should always run for a given target to handle command-line changes.
+# Second argument, if non-zero, makes it do asm/C/C++ dependency munging.
+# Third argument, if non-zero, makes it do POSTBUILDS processing.
+# Note: We intentionally do NOT call dirx for depfile, since it contains ? for
+# spaces already and dirx strips the ? characters.
+define do_cmd
+$(if $(or $(command_changed),$(prereq_changed)),
+  @$(call exact_echo,  $($(quiet)cmd_$(1)))
+  @mkdir -p "$(call dirx,$@)" "$(dir $(depfile))"
+  $(if $(findstring flock,$(word 1,$(cmd_$1))),
+    @$(cmd_$(1))
+    @echo "  $(quiet_cmd_$(1)): Finished",
+    @$(cmd_$(1))
+  )
+  @$(call exact_echo,$(call escape_vars,cmd_$(call replace_spaces,$@) := $(cmd_$(1)))) > $(depfile)
+  @$(if $(2),$(fixup_dep))
+  $(if $(and $(3), $(POSTBUILDS)),
+    $(call do_postbuilds)
+  )
+)
+endef
+
+# Declare "all" target first so it is the default, even though we don't have the
+# deps yet.
+.PHONY: all
+all:
+
+# Use FORCE_DO_CMD to force a target to run.  Should be coupled with
+# do_cmd.
+.PHONY: FORCE_DO_CMD
+FORCE_DO_CMD:
+
+TOOLSET := target
+# Suffix rules, putting all outputs into $(obj).
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
+endif
+
+quiet_cmd_regen_makefile = ACTION Regenerating $@
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/ia32/win" "-Dlibrary=static_library" "-Dtarget_arch=ia32" "-DOS=win" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
+       $(call do_cmd,regen_makefile)
+
+# "all" is a concatenation of the "all" targets from all the included
+# sub-makefiles. This is just here to clarify.
+all:
+
+# Add in dependency-tracking rules.  $(all_deps) is the list of every single
+# target in our tree. Only consider the ones with .d (dependency) info:
+d_files := $(wildcard $(foreach f,$(all_deps),$(depsdir)/$(f).d))
+ifneq ($(d_files),)
+  # Rather than include each individual .d file, concatenate them into a
+  # single file which make is able to load faster.  We split this into
+  # commands that take 1000 files at a time to avoid overflowing the
+  # command line.
+  $(shell cat $(wordlist 1,1000,$(d_files)) > $(depsdir)/all.deps)
+
+  ifneq ($(word 1001,$(d_files)),)
+    $(error Found unprocessed dependency files (gyp didn't generate enough rules!))
+  endif
+
+  # make looks for ways to re-generate included makefiles, but in our case, we
+  # don't have a direct way. Explicitly telling make that it has nothing to do
+  # for them makes it go faster.
+  $(depsdir)/all.deps: ;
+
+  include $(depsdir)/all.deps
+endif
diff --git a/mk/libuv/ia32/win/src/libuv/run-benchmarks.target.mk b/mk/libuv/ia32/win/src/libuv/run-benchmarks.target.mk
new file mode 100644 (file)
index 0000000..68dc04f
--- /dev/null
@@ -0,0 +1,81 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-benchmarks
+DEFS_Default := 
+
+# Flags passed to all source files.
+CFLAGS_Default := 
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/benchmark-ares.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-ping-pongs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pound.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pump.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-sizes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-tcp-write-batch.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-udp-packet-storm.o \
+       $(obj).target/$(TARGET)/src/libuv/test/dns-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-benchmarks.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-win.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := ws2_32.lib \
+       -lws2_32.lib
+
+$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-benchmarks: LIBS := $(LIBS)
+$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
+$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-benchmarks
+# Add target alias
+.PHONY: run-benchmarks
+run-benchmarks: $(builddir)/run-benchmarks
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-benchmarks
+
diff --git a/mk/libuv/ia32/win/src/libuv/run-tests.target.mk b/mk/libuv/ia32/win/src/libuv/run-tests.target.mk
new file mode 100644 (file)
index 0000000..9b09db7
--- /dev/null
@@ -0,0 +1,115 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-tests
+DEFS_Default := 
+
+# Flags passed to all source files.
+CFLAGS_Default := 
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-tests.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-loadavg.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-async.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-callback-stack.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-connection-fail.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-delayed-accept.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fail-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-currentexe.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-memory.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getsockname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-hrtime.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-idle.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ipc.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-loop-handles.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-multiple-listen.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pass-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ping-pong.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ref.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-shutdown-eof.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-stdio-over-pipes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-close.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-flags.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-to-half-open-connection.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-writealot.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer-again.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tty.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-dgram-too-big.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-ipv6.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-send-and-recv.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-multicast-join.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-win.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := ws2_32.lib \
+       -lws2_32.lib
+
+$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-tests: LIBS := $(LIBS)
+$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-tests: TOOLSET := $(TOOLSET)
+$(builddir)/run-tests: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-tests
+# Add target alias
+.PHONY: run-tests
+run-tests: $(builddir)/run-tests
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-tests
+
diff --git a/mk/libuv/ia32/win/src/libuv/uv.Makefile b/mk/libuv/ia32/win/src/libuv/uv.Makefile
new file mode 100644 (file)
index 0000000..65df030
--- /dev/null
@@ -0,0 +1,6 @@
+# This file is generated by gyp; do not edit.
+
+export builddir_name ?= mk/libuv/ia32/win/./src/libuv/out
+.PHONY: all
+all:
+       $(MAKE) -C ../.. uv run-benchmarks run-tests
diff --git a/mk/libuv/ia32/win/src/libuv/uv.target.mk b/mk/libuv/ia32/win/src/libuv/uv.target.mk
new file mode 100644 (file)
index 0000000..86ed707
--- /dev/null
@@ -0,0 +1,137 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := uv
+DEFS_Default := '-DHAVE_CONFIG_H' \
+       '-D_WIN32_WINNT=0x0502' \
+       '-DEIO_STACKSIZE=262144' \
+       '-D_GNU_SOURCE'
+
+# Flags passed to all source files.
+CFLAGS_Default := 
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include \
+       -I$(srcdir)/src/libuv/include/uv-private \
+       -I$(srcdir)/src/libuv/src \
+       -I$(srcdir)/src/libuv/src/ares/config_win32
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/src/uv-common.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_cancel.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__close_sockets.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_data.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_destroy.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_name.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_fds.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyaddr.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__get_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getnameinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getopt.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getsock.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_library_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_llist.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_mkquery.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_nowarn.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_options.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_aaaa_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_a_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_mx_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ns_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ptr_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_srv_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_txt_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_query.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__read_line.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_search.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_send.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strcasecmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strdup.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strerror.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_timeout.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__timeval.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_version.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_writev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/bitncmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_net_pton.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_ntop.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/windows_port.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getenv.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_platform.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/async.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/cares.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/core.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/dl.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/error.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/fs.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/handle.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/loop-watcher.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/pipe.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/req.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/stream.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/tcp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/tty.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/threads.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/timer.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/udp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/util.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/winapi.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/winsock.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := 
+
+$(obj).target/src/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(obj).target/src/libuv/libuv.a: LIBS := $(LIBS)
+$(obj).target/src/libuv/libuv.a: TOOLSET := $(TOOLSET)
+$(obj).target/src/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
+       $(call do_cmd,alink)
+
+all_deps += $(obj).target/src/libuv/libuv.a
+# Add target alias
+.PHONY: uv
+uv: $(obj).target/src/libuv/libuv.a
+
+# Add target alias to "all" target.
+.PHONY: all
+all: uv
+
diff --git a/mk/libuv/ia32/win/src/rt/libuv/run-benchmarks.target.mk b/mk/libuv/ia32/win/src/rt/libuv/run-benchmarks.target.mk
deleted file mode 100644 (file)
index 7337873..0000000
+++ /dev/null
@@ -1,81 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-benchmarks
-DEFS_Default := 
-
-# Flags passed to all source files.
-CFLAGS_Default := 
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ping-pongs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pound.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pump.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-sizes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-tcp-write-batch.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-udp-packet-storm.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/dns-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-benchmarks.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-win.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := ws2_32.lib \
-       -lws2_32.lib
-
-$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-benchmarks: LIBS := $(LIBS)
-$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
-$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-benchmarks
-# Add target alias
-.PHONY: run-benchmarks
-run-benchmarks: $(builddir)/run-benchmarks
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-benchmarks
-
diff --git a/mk/libuv/ia32/win/src/rt/libuv/run-tests.target.mk b/mk/libuv/ia32/win/src/rt/libuv/run-tests.target.mk
deleted file mode 100644 (file)
index 85403f2..0000000
+++ /dev/null
@@ -1,115 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-tests
-DEFS_Default := 
-
-# Flags passed to all source files.
-CFLAGS_Default := 
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-tests.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-loadavg.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-callback-stack.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-connection-fail.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-delayed-accept.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fail-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-currentexe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-memory.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getsockname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-hrtime.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-idle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ipc.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-loop-handles.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-multiple-listen.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pass-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ping-pong.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ref.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-shutdown-eof.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-stdio-over-pipes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-close.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-flags.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-to-half-open-connection.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-writealot.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer-again.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-dgram-too-big.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-ipv6.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-send-and-recv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-multicast-join.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-win.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := ws2_32.lib \
-       -lws2_32.lib
-
-$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-tests: LIBS := $(LIBS)
-$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-tests: TOOLSET := $(TOOLSET)
-$(builddir)/run-tests: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-tests
-# Add target alias
-.PHONY: run-tests
-run-tests: $(builddir)/run-tests
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-tests
-
diff --git a/mk/libuv/ia32/win/src/rt/libuv/uv.Makefile b/mk/libuv/ia32/win/src/rt/libuv/uv.Makefile
deleted file mode 100644 (file)
index e1c7ed0..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-export builddir_name ?= mk/libuv/ia32/win/./src/rt/libuv/out
-.PHONY: all
-all:
-       $(MAKE) -C ../../.. uv run-tests run-benchmarks
diff --git a/mk/libuv/ia32/win/src/rt/libuv/uv.target.mk b/mk/libuv/ia32/win/src/rt/libuv/uv.target.mk
deleted file mode 100644 (file)
index 1291a82..0000000
+++ /dev/null
@@ -1,137 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := uv
-DEFS_Default := '-DHAVE_CONFIG_H' \
-       '-D_WIN32_WINNT=0x0502' \
-       '-DEIO_STACKSIZE=262144' \
-       '-D_GNU_SOURCE'
-
-# Flags passed to all source files.
-CFLAGS_Default := 
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include \
-       -I$(srcdir)/src/rt/libuv/include/uv-private \
-       -I$(srcdir)/src/rt/libuv/src \
-       -I$(srcdir)/src/rt/libuv/src/ares/config_win32
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/src/uv-common.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_cancel.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__close_sockets.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_data.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_destroy.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_name.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_fds.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyaddr.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__get_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getnameinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getopt.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getsock.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_library_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_llist.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_mkquery.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_nowarn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_options.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_aaaa_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_a_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_mx_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ns_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ptr_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_srv_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_txt_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_query.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__read_line.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_search.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_send.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strcasecmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strdup.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strerror.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_timeout.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__timeval.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_version.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_writev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/bitncmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_net_pton.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_ntop.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/windows_port.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getenv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_platform.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/cares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/core.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/dl.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/handle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/loop-watcher.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/pipe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/req.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/stream.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/tcp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/threads.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/udp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/util.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/winapi.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/winsock.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := 
-
-$(obj).target/src/rt/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(obj).target/src/rt/libuv/libuv.a: LIBS := $(LIBS)
-$(obj).target/src/rt/libuv/libuv.a: TOOLSET := $(TOOLSET)
-$(obj).target/src/rt/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
-       $(call do_cmd,alink)
-
-all_deps += $(obj).target/src/rt/libuv/libuv.a
-# Add target alias
-.PHONY: uv
-uv: $(obj).target/src/rt/libuv/libuv.a
-
-# Add target alias to "all" target.
-.PHONY: all
-all: uv
-
index 659834e4cd2a3750501861857364312ac9523842..781fa41bea9249798c6a57e34117f1b4655b9460 100644 (file)
@@ -329,23 +329,23 @@ $(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
 
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-benchmarks.target.mk)))),)
-  include src/rt/libuv/run-benchmarks.target.mk
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-tests.target.mk)))),)
-  include src/rt/libuv/run-tests.target.mk
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/uv.target.mk)))),)
-  include src/rt/libuv/uv.target.mk
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
 endif
 
 quiet_cmd_regen_makefile = ACTION Regenerating $@
-cmd_regen_makefile = ./src/rt/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/mac" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=mac" src/rt/libuv/uv.gyp
-#Makefile: $(srcdir)/src/rt/libuv/uv.gyp
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/mac" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=mac" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
 #      $(call do_cmd,regen_makefile)
 
 # "all" is a concatenation of the "all" targets from all the included
diff --git a/mk/libuv/x86_64/mac/Makefile-e b/mk/libuv/x86_64/mac/Makefile-e
new file mode 100644 (file)
index 0000000..44d99ba
--- /dev/null
@@ -0,0 +1,375 @@
+# We borrow heavily from the kernel build setup, though we are simpler since
+# we don't have Kconfig tweaking settings on us.
+
+# The implicit make rules have it looking for RCS files, among other things.
+# We instead explicitly write all the rules we care about.
+# It's even quicker (saves ~200ms) to pass -r on the command line.
+MAKEFLAGS=-r
+
+# The source directory tree.
+srcdir := ../../../..
+
+# The name of the builddir.
+builddir_name ?= out
+
+# The V=1 flag on command line makes us verbosely print command lines.
+ifdef V
+  quiet=
+else
+  quiet=quiet_
+endif
+
+# Specify BUILDTYPE=Release on the command line for a release build.
+BUILDTYPE ?= Default
+
+# Directory all our build output goes into.
+# Note that this must be two directories beneath src/ for unit tests to pass,
+# as they reach into the src/ directory for data with relative paths.
+builddir ?= $(builddir_name)/$(BUILDTYPE)
+abs_builddir := $(abspath $(builddir))
+depsdir := $(builddir)/.deps
+
+# Object output directory.
+obj := $(builddir)/obj
+abs_obj := $(abspath $(obj))
+
+# We build up a list of every single one of the targets so we can slurp in the
+# generated dependency rule Makefiles in one pass.
+all_deps :=
+
+
+
+# C++ apps need to be linked with g++.
+#
+# Note: flock is used to seralize linking. Linking is a memory-intensive
+# process so running parallel links can often lead to thrashing.  To disable
+# the serialization, override LINK via an envrionment variable as follows:
+#
+#   export LINK=g++
+#
+# This will allow make to invoke N linker processes as specified in -jN.
+LINK ?= ./gyp-mac-tool flock $(builddir)/linker.lock $(CXX)
+
+CC.target ?= $(CC)
+CFLAGS.target ?= $(CFLAGS)
+CXX.target ?= $(CXX)
+CXXFLAGS.target ?= $(CXXFLAGS)
+LINK.target ?= $(LINK)
+LDFLAGS.target ?= $(LDFLAGS) 
+AR.target ?= $(AR)
+ARFLAGS.target ?= crs
+
+# N.B.: the logic of which commands to run should match the computation done
+# in gyp's make.py where ARFLAGS.host etc. is computed.
+# TODO(evan): move all cross-compilation logic to gyp-time so we don't need
+# to replicate this environment fallback in make as well.
+CC.host ?= gcc
+CFLAGS.host ?=
+CXX.host ?= g++
+CXXFLAGS.host ?=
+LINK.host ?= g++
+LDFLAGS.host ?=
+AR.host ?= ar
+ARFLAGS.host := crs
+
+# Define a dir function that can handle spaces.
+# http://www.gnu.org/software/make/manual/make.html#Syntax-of-Functions
+# "leading spaces cannot appear in the text of the first argument as written.
+# These characters can be put into the argument value by variable substitution."
+empty :=
+space := $(empty) $(empty)
+
+# http://stackoverflow.com/questions/1189781/using-make-dir-or-notdir-on-a-path-with-spaces
+replace_spaces = $(subst $(space),?,$1)
+unreplace_spaces = $(subst ?,$(space),$1)
+dirx = $(call unreplace_spaces,$(dir $(call replace_spaces,$1)))
+
+# Flags to make gcc output dependency info.  Note that you need to be
+# careful here to use the flags that ccache and distcc can understand.
+# We write to a dep file on the side first and then rename at the end
+# so we can't end up with a broken dep file.
+depfile = $(depsdir)/$(call replace_spaces,$@).d
+DEPFLAGS = -MMD -MF $(depfile).raw
+
+# We have to fixup the deps output in a few ways.
+# (1) the file output should mention the proper .o file.
+# ccache or distcc lose the path to the target, so we convert a rule of
+# the form:
+#   foobar.o: DEP1 DEP2
+# into
+#   path/to/foobar.o: DEP1 DEP2
+# (2) we want missing files not to cause us to fail to build.
+# We want to rewrite
+#   foobar.o: DEP1 DEP2 \
+#               DEP3
+# to
+#   DEP1:
+#   DEP2:
+#   DEP3:
+# so if the files are missing, they're just considered phony rules.
+# We have to do some pretty insane escaping to get those backslashes
+# and dollar signs past make, the shell, and sed at the same time.
+# Doesn't work with spaces, but that's fine: .d files have spaces in
+# their names replaced with other characters.
+define fixup_dep
+# The depfile may not exist if the input file didn't have any #includes.
+touch $(depfile).raw
+# Fixup path as in (1).
+sed -e "s|^$(notdir $@)|$@|" $(depfile).raw >> $(depfile)
+# Add extra rules as in (2).
+# We remove slashes and replace spaces with new lines;
+# remove blank lines;
+# delete the first line and append a colon to the remaining lines.
+sed -e 's|\\||' -e 'y| |\n|' $(depfile).raw |\
+  grep -v '^$$'                             |\
+  sed -e 1d -e 's|$$|:|'                     \
+    >> $(depfile)
+rm $(depfile).raw
+endef
+
+# Command definitions:
+# - cmd_foo is the actual command to run;
+# - quiet_cmd_foo is the brief-output summary of the command.
+
+quiet_cmd_cc = CC($(TOOLSET)) $@
+cmd_cc = $(CC.$(TOOLSET)) $(GYP_CFLAGS) $(DEPFLAGS) $(CFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_cxx = CXX($(TOOLSET)) $@
+cmd_cxx = $(CXX.$(TOOLSET)) $(GYP_CXXFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_objc = CXX($(TOOLSET)) $@
+cmd_objc = $(CC.$(TOOLSET)) $(GYP_OBJCFLAGS) $(DEPFLAGS) -c -o $@ $<
+
+quiet_cmd_objcxx = CXX($(TOOLSET)) $@
+cmd_objcxx = $(CXX.$(TOOLSET)) $(GYP_OBJCXXFLAGS) $(DEPFLAGS) -c -o $@ $<
+
+# Commands for precompiled header files.
+quiet_cmd_pch_c = CXX($(TOOLSET)) $@
+cmd_pch_c = $(CC.$(TOOLSET)) $(GYP_PCH_CFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+quiet_cmd_pch_cc = CXX($(TOOLSET)) $@
+cmd_pch_cc = $(CC.$(TOOLSET)) $(GYP_PCH_CCFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+quiet_cmd_pch_m = CXX($(TOOLSET)) $@
+cmd_pch_m = $(CC.$(TOOLSET)) $(GYP_PCH_OBJCFLAGS) $(DEPFLAGS) -c -o $@ $<
+quiet_cmd_pch_mm = CXX($(TOOLSET)) $@
+cmd_pch_mm = $(CC.$(TOOLSET)) $(GYP_PCH_OBJCXXFLAGS) $(DEPFLAGS) -c -o $@ $<
+
+# gyp-mac-tool is written next to the root Makefile by gyp.
+# Use $(4) for the command, since $(2) and $(3) are used as flag by do_cmd
+# already.
+quiet_cmd_mac_tool = MACTOOL $(4) $<
+cmd_mac_tool = ./gyp-mac-tool $(4) $< "$@"
+
+quiet_cmd_mac_package_framework = PACKAGE FRAMEWORK $@
+cmd_mac_package_framework = ./gyp-mac-tool package-framework "$@" $(4)
+
+quiet_cmd_touch = TOUCH $@
+cmd_touch = touch $@
+
+quiet_cmd_copy = COPY $@
+# send stderr to /dev/null to ignore messages when linking directories.
+cmd_copy = ln -f "$<" "$@" 2>/dev/null || (rm -rf "$@" && cp -af "$<" "$@")
+
+quiet_cmd_alink = LIBTOOL-STATIC $@
+cmd_alink = rm -f $@ && libtool -static -o $@ $(filter %.o,$^)
+
+quiet_cmd_link = LINK($(TOOLSET)) $@
+cmd_link = $(LINK.$(TOOLSET)) $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o "$@" $(LD_INPUTS) $(LIBS)
+
+# TODO(thakis): Find out and document the difference between shared_library and
+# loadable_module on mac.
+quiet_cmd_solink = SOLINK($(TOOLSET)) $@
+cmd_solink = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o "$@" $(LD_INPUTS) $(LIBS)
+
+# TODO(thakis): The solink_module rule is likely wrong. Xcode seems to pass
+# -bundle -single_module here (for osmesa.so).
+quiet_cmd_solink_module = SOLINK_MODULE($(TOOLSET)) $@
+cmd_solink_module = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o $@ $(filter-out FORCE_DO_CMD, $^) $(LIBS)
+
+
+# Define an escape_quotes function to escape single quotes.
+# This allows us to handle quotes properly as long as we always use
+# use single quotes and escape_quotes.
+escape_quotes = $(subst ','\'',$(1))
+# This comment is here just to include a ' to unconfuse syntax highlighting.
+# Define an escape_vars function to escape '$' variable syntax.
+# This allows us to read/write command lines with shell variables (e.g.
+# $LD_LIBRARY_PATH), without triggering make substitution.
+escape_vars = $(subst $$,$$$$,$(1))
+# Helper that expands to a shell command to echo a string exactly as it is in
+# make. This uses printf instead of echo because printf's behaviour with respect
+# to escape sequences is more portable than echo's across different shells
+# (e.g., dash, bash).
+exact_echo = printf '%s\n' '$(call escape_quotes,$(1))'
+
+# Helper to compare the command we're about to run against the command
+# we logged the last time we ran the command.  Produces an empty
+# string (false) when the commands match.
+# Tricky point: Make has no string-equality test function.
+# The kernel uses the following, but it seems like it would have false
+# positives, where one string reordered its arguments.
+#   arg_check = $(strip $(filter-out $(cmd_$(1)), $(cmd_$@)) \
+#                       $(filter-out $(cmd_$@), $(cmd_$(1))))
+# We instead substitute each for the empty string into the other, and
+# say they're equal if both substitutions produce the empty string.
+# .d files contain ? instead of spaces, take that into account.
+command_changed = $(or $(subst $(cmd_$(1)),,$(cmd_$(call replace_spaces,$@))),\
+                       $(subst $(cmd_$(call replace_spaces,$@)),,$(cmd_$(1))))
+
+# Helper that is non-empty when a prerequisite changes.
+# Normally make does this implicitly, but we force rules to always run
+# so we can check their command lines.
+#   $? -- new prerequisites
+#   $| -- order-only dependencies
+prereq_changed = $(filter-out FORCE_DO_CMD,$(filter-out $|,$?))
+
+# Helper that executes all postbuilds, and deletes the output file when done
+# if any of the postbuilds failed.
+define do_postbuilds
+  @E=0;\
+  for p in $(POSTBUILDS); do\
+    eval $$p;\
+    F=$$?;\
+    if [ $$F -ne 0 ]; then\
+      E=$$F;\
+    fi;\
+  done;\
+  if [ $$E -ne 0 ]; then\
+    rm -rf "$@";\
+    exit $$E;\
+  fi
+endef
+
+# do_cmd: run a command via the above cmd_foo names, if necessary.
+# Should always run for a given target to handle command-line changes.
+# Second argument, if non-zero, makes it do asm/C/C++ dependency munging.
+# Third argument, if non-zero, makes it do POSTBUILDS processing.
+# Note: We intentionally do NOT call dirx for depfile, since it contains ? for
+# spaces already and dirx strips the ? characters.
+define do_cmd
+$(if $(or $(command_changed),$(prereq_changed)),
+  @$(call exact_echo,  $($(quiet)cmd_$(1)))
+  @mkdir -p "$(call dirx,$@)" "$(dir $(depfile))"
+  $(if $(findstring flock,$(word 2,$(cmd_$1))),
+    @$(cmd_$(1))
+    @echo "  $(quiet_cmd_$(1)): Finished",
+    @$(cmd_$(1))
+  )
+  @$(call exact_echo,$(call escape_vars,cmd_$(call replace_spaces,$@) := $(cmd_$(1)))) > $(depfile)
+  @$(if $(2),$(fixup_dep))
+  $(if $(and $(3), $(POSTBUILDS)),
+    $(call do_postbuilds)
+  )
+)
+endef
+
+# Declare "all" target first so it is the default, even though we don't have the
+# deps yet.
+.PHONY: all
+all:
+
+# Use FORCE_DO_CMD to force a target to run.  Should be coupled with
+# do_cmd.
+.PHONY: FORCE_DO_CMD
+FORCE_DO_CMD:
+
+TOOLSET := target
+# Suffix rules, putting all outputs into $(obj).
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.m FORCE_DO_CMD
+       @$(call do_cmd,objc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.mm FORCE_DO_CMD
+       @$(call do_cmd,objcxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.m FORCE_DO_CMD
+       @$(call do_cmd,objc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.mm FORCE_DO_CMD
+       @$(call do_cmd,objcxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.m FORCE_DO_CMD
+       @$(call do_cmd,objc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.mm FORCE_DO_CMD
+       @$(call do_cmd,objcxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
+endif
+
+quiet_cmd_regen_makefile = ACTION Regenerating $@
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/mac" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=mac" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
+       $(call do_cmd,regen_makefile)
+
+# "all" is a concatenation of the "all" targets from all the included
+# sub-makefiles. This is just here to clarify.
+all:
+
+# Add in dependency-tracking rules.  $(all_deps) is the list of every single
+# target in our tree. Only consider the ones with .d (dependency) info:
+d_files := $(wildcard $(foreach f,$(all_deps),$(depsdir)/$(f).d))
+ifneq ($(d_files),)
+  # Rather than include each individual .d file, concatenate them into a
+  # single file which make is able to load faster.  We split this into
+  # commands that take 1000 files at a time to avoid overflowing the
+  # command line.
+  $(shell cat $(wordlist 1,1000,$(d_files)) > $(depsdir)/all.deps)
+
+  ifneq ($(word 1001,$(d_files)),)
+    $(error Found unprocessed dependency files (gyp didn't generate enough rules!))
+  endif
+
+  # make looks for ways to re-generate included makefiles, but in our case, we
+  # don't have a direct way. Explicitly telling make that it has nothing to do
+  # for them makes it go faster.
+  $(depsdir)/all.deps: ;
+
+  include $(depsdir)/all.deps
+endif
index 15272c03c13c29387a0c9bd5c8e38e2eff4462cd..7eb894b23ef66b97de39288e0fa4d5794b5e2a97 100755 (executable)
@@ -74,14 +74,12 @@ class MacTool(object):
     if not plist:
       return
 
-    # Only create PkgInfo for executable types.
-    package_type = plist['CFBundlePackageType']
-    if package_type != 'APPL':
-      return
-
     # The format of PkgInfo is eight characters, representing the bundle type
-    # and bundle signature, each four characters. If that is missing, four
+    # and bundle signature, each four characters. If either is missing, four
     # '?' characters are used instead.
+    package_type = plist['CFBundlePackageType']
+    if len(package_type) != 4:
+      package_type = '?' * 4
     signature_code = plist['CFBundleSignature']
     if len(signature_code) != 4:
       signature_code = '?' * 4
diff --git a/mk/libuv/x86_64/mac/src/libuv/run-benchmarks.target.mk b/mk/libuv/x86_64/mac/src/libuv/run-benchmarks.target.mk
new file mode 100644 (file)
index 0000000..1dfb151
--- /dev/null
@@ -0,0 +1,97 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-benchmarks
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch x86_64
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/benchmark-ares.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-ping-pongs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pound.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pump.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-sizes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-tcp-write-batch.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-udp-packet-storm.o \
+       $(obj).target/$(TARGET)/src/libuv/test/dns-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-benchmarks.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(builddir)/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch x86_64 \
+       -L$(builddir)
+
+LIBS := -framework Carbon \
+       -framework CoreServices
+
+$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-benchmarks: LIBS := $(LIBS)
+$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
+$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
+$(builddir)/run-benchmarks: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-benchmarks
+# Add target alias
+.PHONY: run-benchmarks
+run-benchmarks: $(builddir)/run-benchmarks
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-benchmarks
+
diff --git a/mk/libuv/x86_64/mac/src/libuv/run-benchmarks.target.mk-e b/mk/libuv/x86_64/mac/src/libuv/run-benchmarks.target.mk-e
new file mode 100644 (file)
index 0000000..64d150f
--- /dev/null
@@ -0,0 +1,97 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-benchmarks
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch i386
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/benchmark-ares.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-ping-pongs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pound.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pump.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-sizes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-tcp-write-batch.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-udp-packet-storm.o \
+       $(obj).target/$(TARGET)/src/libuv/test/dns-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-benchmarks.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(builddir)/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch i386 \
+       -L$(builddir)
+
+LIBS := -framework Carbon \
+       -framework CoreServices
+
+$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-benchmarks: LIBS := $(LIBS)
+$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
+$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
+$(builddir)/run-benchmarks: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-benchmarks
+# Add target alias
+.PHONY: run-benchmarks
+run-benchmarks: $(builddir)/run-benchmarks
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-benchmarks
+
diff --git a/mk/libuv/x86_64/mac/src/libuv/run-tests.target.mk b/mk/libuv/x86_64/mac/src/libuv/run-tests.target.mk
new file mode 100644 (file)
index 0000000..498e3b5
--- /dev/null
@@ -0,0 +1,131 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-tests
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch x86_64
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-tests.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-loadavg.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-async.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-callback-stack.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-connection-fail.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-delayed-accept.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fail-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-currentexe.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-memory.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getsockname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-hrtime.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-idle.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ipc.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-loop-handles.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-multiple-listen.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pass-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ping-pong.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ref.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-shutdown-eof.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-stdio-over-pipes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-close.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-flags.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-to-half-open-connection.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-writealot.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer-again.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tty.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-dgram-too-big.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-ipv6.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-send-and-recv.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-multicast-join.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(builddir)/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch x86_64 \
+       -L$(builddir)
+
+LIBS := -framework Carbon \
+       -framework CoreServices
+
+$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-tests: LIBS := $(LIBS)
+$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
+$(builddir)/run-tests: TOOLSET := $(TOOLSET)
+$(builddir)/run-tests: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-tests
+# Add target alias
+.PHONY: run-tests
+run-tests: $(builddir)/run-tests
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-tests
+
diff --git a/mk/libuv/x86_64/mac/src/libuv/run-tests.target.mk-e b/mk/libuv/x86_64/mac/src/libuv/run-tests.target.mk-e
new file mode 100644 (file)
index 0000000..5a5730b
--- /dev/null
@@ -0,0 +1,131 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-tests
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch i386
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-tests.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-loadavg.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-async.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-callback-stack.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-connection-fail.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-delayed-accept.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fail-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-currentexe.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-memory.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getsockname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-hrtime.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-idle.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ipc.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-loop-handles.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-multiple-listen.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pass-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ping-pong.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ref.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-shutdown-eof.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-stdio-over-pipes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-close.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-flags.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-to-half-open-connection.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-writealot.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer-again.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tty.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-dgram-too-big.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-ipv6.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-send-and-recv.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-multicast-join.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(builddir)/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch i386 \
+       -L$(builddir)
+
+LIBS := -framework Carbon \
+       -framework CoreServices
+
+$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-tests: LIBS := $(LIBS)
+$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
+$(builddir)/run-tests: TOOLSET := $(TOOLSET)
+$(builddir)/run-tests: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-tests
+# Add target alias
+.PHONY: run-tests
+run-tests: $(builddir)/run-tests
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-tests
+
diff --git a/mk/libuv/x86_64/mac/src/libuv/uv.Makefile b/mk/libuv/x86_64/mac/src/libuv/uv.Makefile
new file mode 100644 (file)
index 0000000..48cdc76
--- /dev/null
@@ -0,0 +1,6 @@
+# This file is generated by gyp; do not edit.
+
+export builddir_name ?= mk/libuv/x86_64/mac/./src/libuv/out
+.PHONY: all
+all:
+       $(MAKE) -C ../.. uv run-benchmarks run-tests
diff --git a/mk/libuv/x86_64/mac/src/libuv/uv.target.mk b/mk/libuv/x86_64/mac/src/libuv/uv.target.mk
new file mode 100644 (file)
index 0000000..8761d16
--- /dev/null
@@ -0,0 +1,145 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := uv
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144' \
+       '-DHAVE_CONFIG_H' \
+       '-DEV_CONFIG_H="config_darwin.h"' \
+       '-DEIO_CONFIG_H="config_darwin.h"'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch x86_64
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include \
+       -I$(srcdir)/src/libuv/include/uv-private \
+       -I$(srcdir)/src/libuv/src \
+       -I$(srcdir)/src/libuv/src/unix/ev \
+       -I$(srcdir)/src/libuv/src/ares/config_darwin
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/src/uv-common.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_cancel.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__close_sockets.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_data.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_destroy.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_name.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_fds.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyaddr.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__get_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getnameinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getopt.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getsock.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_library_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_llist.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_mkquery.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_nowarn.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_options.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_aaaa_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_a_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_mx_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ns_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ptr_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_srv_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_txt_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_query.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__read_line.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_search.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_send.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strcasecmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strdup.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strerror.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_timeout.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__timeval.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_version.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_writev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/bitncmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_net_pton.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_ntop.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/windows_port.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/core.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/uv-eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/fs.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/udp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tcp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/pipe.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tty.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/stream.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/cares.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/dl.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/error.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/eio/eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/ev/ev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/darwin.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/kqueue.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch x86_64 \
+       -L$(builddir)
+
+LIBS := -lm
+
+$(builddir)/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/libuv.a: LIBS := $(LIBS)
+$(builddir)/libuv.a: TOOLSET := $(TOOLSET)
+$(builddir)/libuv.a: $(OBJS) FORCE_DO_CMD
+       $(call do_cmd,alink)
+
+all_deps += $(builddir)/libuv.a
+# Add target alias
+.PHONY: uv
+uv: $(builddir)/libuv.a
+
+# Add target alias to "all" target.
+.PHONY: all
+all: uv
+
diff --git a/mk/libuv/x86_64/mac/src/libuv/uv.target.mk-e b/mk/libuv/x86_64/mac/src/libuv/uv.target.mk-e
new file mode 100644 (file)
index 0000000..28f911f
--- /dev/null
@@ -0,0 +1,145 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := uv
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144' \
+       '-DHAVE_CONFIG_H' \
+       '-DEV_CONFIG_H="config_darwin.h"' \
+       '-DEIO_CONFIG_H="config_darwin.h"'
+
+# Flags passed to all source files.
+CFLAGS_Default := -fasm-blocks \
+       -mpascal-strings \
+       -Os \
+       -gdwarf-2 \
+       -arch i386
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+# Flags passed to only ObjC files.
+CFLAGS_OBJC_Default := 
+
+# Flags passed to only ObjC++ files.
+CFLAGS_OBJCC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include \
+       -I$(srcdir)/src/libuv/include/uv-private \
+       -I$(srcdir)/src/libuv/src \
+       -I$(srcdir)/src/libuv/src/unix/ev \
+       -I$(srcdir)/src/libuv/src/ares/config_darwin
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/src/uv-common.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_cancel.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__close_sockets.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_data.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_destroy.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_name.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_fds.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyaddr.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__get_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getnameinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getopt.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getsock.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_library_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_llist.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_mkquery.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_nowarn.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_options.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_aaaa_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_a_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_mx_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ns_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ptr_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_srv_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_txt_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_query.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__read_line.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_search.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_send.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strcasecmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strdup.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strerror.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_timeout.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__timeval.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_version.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_writev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/bitncmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_net_pton.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_ntop.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/windows_port.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/core.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/uv-eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/fs.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/udp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tcp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/pipe.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tty.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/stream.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/cares.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/dl.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/error.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/eio/eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/ev/ev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/darwin.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/kqueue.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
+$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := -arch i386 \
+       -L$(builddir)
+
+LIBS := -lm
+
+$(builddir)/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/libuv.a: LIBS := $(LIBS)
+$(builddir)/libuv.a: TOOLSET := $(TOOLSET)
+$(builddir)/libuv.a: $(OBJS) FORCE_DO_CMD
+       $(call do_cmd,alink)
+
+all_deps += $(builddir)/libuv.a
+# Add target alias
+.PHONY: uv
+uv: $(builddir)/libuv.a
+
+# Add target alias to "all" target.
+.PHONY: all
+all: uv
+
diff --git a/mk/libuv/x86_64/mac/src/rt/libuv/run-benchmarks.target.mk b/mk/libuv/x86_64/mac/src/rt/libuv/run-benchmarks.target.mk
deleted file mode 100644 (file)
index 26d362e..0000000
+++ /dev/null
@@ -1,97 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-benchmarks
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -fasm-blocks \
-       -mpascal-strings \
-       -Os \
-       -gdwarf-2 \
-       -arch x86_64
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-# Flags passed to only ObjC files.
-CFLAGS_OBJC_Default := 
-
-# Flags passed to only ObjC++ files.
-CFLAGS_OBJCC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ping-pongs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pound.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pump.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-sizes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-tcp-write-batch.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-udp-packet-storm.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/dns-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-benchmarks.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(builddir)/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := -arch x86_64 \
-       -L$(builddir)
-
-LIBS := -framework Carbon \
-       -framework CoreServices
-
-$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-benchmarks: LIBS := $(LIBS)
-$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
-$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
-$(builddir)/run-benchmarks: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-benchmarks
-# Add target alias
-.PHONY: run-benchmarks
-run-benchmarks: $(builddir)/run-benchmarks
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-benchmarks
-
diff --git a/mk/libuv/x86_64/mac/src/rt/libuv/run-tests.target.mk b/mk/libuv/x86_64/mac/src/rt/libuv/run-tests.target.mk
deleted file mode 100644 (file)
index ab3921d..0000000
+++ /dev/null
@@ -1,131 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-tests
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -fasm-blocks \
-       -mpascal-strings \
-       -Os \
-       -gdwarf-2 \
-       -arch x86_64
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-# Flags passed to only ObjC files.
-CFLAGS_OBJC_Default := 
-
-# Flags passed to only ObjC++ files.
-CFLAGS_OBJCC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-tests.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-loadavg.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-callback-stack.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-connection-fail.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-delayed-accept.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fail-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-currentexe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-memory.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getsockname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-hrtime.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-idle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ipc.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-loop-handles.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-multiple-listen.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pass-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ping-pong.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ref.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-shutdown-eof.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-stdio-over-pipes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-close.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-flags.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-to-half-open-connection.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-writealot.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer-again.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-dgram-too-big.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-ipv6.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-send-and-recv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-multicast-join.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(builddir)/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := -arch x86_64 \
-       -L$(builddir)
-
-LIBS := -framework Carbon \
-       -framework CoreServices
-
-$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-tests: LIBS := $(LIBS)
-$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(builddir)/libuv.a
-$(builddir)/run-tests: TOOLSET := $(TOOLSET)
-$(builddir)/run-tests: $(OBJS) $(builddir)/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-tests
-# Add target alias
-.PHONY: run-tests
-run-tests: $(builddir)/run-tests
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-tests
-
diff --git a/mk/libuv/x86_64/mac/src/rt/libuv/uv.Makefile b/mk/libuv/x86_64/mac/src/rt/libuv/uv.Makefile
deleted file mode 100644 (file)
index c146ac9..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-export builddir_name ?= mk/libuv/x86_64/mac/./src/rt/libuv/out
-.PHONY: all
-all:
-       $(MAKE) -C ../../.. uv run-tests run-benchmarks
diff --git a/mk/libuv/x86_64/mac/src/rt/libuv/uv.target.mk b/mk/libuv/x86_64/mac/src/rt/libuv/uv.target.mk
deleted file mode 100644 (file)
index a751e1d..0000000
+++ /dev/null
@@ -1,145 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := uv
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144' \
-       '-DHAVE_CONFIG_H' \
-       '-DEV_CONFIG_H="config_darwin.h"' \
-       '-DEIO_CONFIG_H="config_darwin.h"'
-
-# Flags passed to all source files.
-CFLAGS_Default := -fasm-blocks \
-       -mpascal-strings \
-       -Os \
-       -gdwarf-2 \
-       -arch x86_64
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-# Flags passed to only ObjC files.
-CFLAGS_OBJC_Default := 
-
-# Flags passed to only ObjC++ files.
-CFLAGS_OBJCC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include \
-       -I$(srcdir)/src/rt/libuv/include/uv-private \
-       -I$(srcdir)/src/rt/libuv/src \
-       -I$(srcdir)/src/rt/libuv/src/unix/ev \
-       -I$(srcdir)/src/rt/libuv/src/ares/config_darwin
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/src/uv-common.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_cancel.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__close_sockets.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_data.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_destroy.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_name.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_fds.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyaddr.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__get_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getnameinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getopt.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getsock.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_library_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_llist.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_mkquery.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_nowarn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_options.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_aaaa_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_a_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_mx_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ns_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ptr_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_srv_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_txt_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_query.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__read_line.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_search.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_send.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strcasecmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strdup.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strerror.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_timeout.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__timeval.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_version.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_writev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/bitncmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_net_pton.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_ntop.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/windows_port.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/core.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/uv-eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/udp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tcp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/pipe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/stream.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/cares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/dl.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/eio/eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/ev/ev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/darwin.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/kqueue.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE)) $(CFLAGS_OBJC_$(BUILDTYPE))
-$(OBJS): GYP_OBJCXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE)) $(CFLAGS_OBJCC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := -arch x86_64 \
-       -L$(builddir)
-
-LIBS := -lm
-
-$(builddir)/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/libuv.a: LIBS := $(LIBS)
-$(builddir)/libuv.a: TOOLSET := $(TOOLSET)
-$(builddir)/libuv.a: $(OBJS) FORCE_DO_CMD
-       $(call do_cmd,alink)
-
-all_deps += $(builddir)/libuv.a
-# Add target alias
-.PHONY: uv
-uv: $(builddir)/libuv.a
-
-# Add target alias to "all" target.
-.PHONY: all
-all: uv
-
index 122dadf5678ce99ae967c78a41c6c5f3649fc600..e7b86e6cfc6673f0497afefcedb0b9c9c8d1652f 100644 (file)
@@ -307,23 +307,23 @@ $(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
 
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-benchmarks.target.mk)))),)
-  include src/rt/libuv/run-benchmarks.target.mk
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-tests.target.mk)))),)
-  include src/rt/libuv/run-tests.target.mk
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/uv.target.mk)))),)
-  include src/rt/libuv/uv.target.mk
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
 endif
 
 quiet_cmd_regen_makefile = ACTION Regenerating $@
-cmd_regen_makefile = ./src/rt/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/unix" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=linux" src/rt/libuv/uv.gyp
-#Makefile: $(srcdir)/src/rt/libuv/uv.gyp
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/unix" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=linux" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
 #      $(call do_cmd,regen_makefile)
 
 # "all" is a concatenation of the "all" targets from all the included
diff --git a/mk/libuv/x86_64/unix/Makefile-e b/mk/libuv/x86_64/unix/Makefile-e
new file mode 100644 (file)
index 0000000..8197dff
--- /dev/null
@@ -0,0 +1,353 @@
+# We borrow heavily from the kernel build setup, though we are simpler since
+# we don't have Kconfig tweaking settings on us.
+
+# The implicit make rules have it looking for RCS files, among other things.
+# We instead explicitly write all the rules we care about.
+# It's even quicker (saves ~200ms) to pass -r on the command line.
+MAKEFLAGS=-r
+
+# The source directory tree.
+srcdir := ../../../..
+
+# The name of the builddir.
+builddir_name ?= out
+
+# The V=1 flag on command line makes us verbosely print command lines.
+ifdef V
+  quiet=
+else
+  quiet=quiet_
+endif
+
+# Specify BUILDTYPE=Release on the command line for a release build.
+BUILDTYPE ?= Default
+
+# Directory all our build output goes into.
+# Note that this must be two directories beneath src/ for unit tests to pass,
+# as they reach into the src/ directory for data with relative paths.
+builddir ?= $(builddir_name)/$(BUILDTYPE)
+abs_builddir := $(abspath $(builddir))
+depsdir := $(builddir)/.deps
+
+# Object output directory.
+obj := $(builddir)/obj
+abs_obj := $(abspath $(obj))
+
+# We build up a list of every single one of the targets so we can slurp in the
+# generated dependency rule Makefiles in one pass.
+all_deps :=
+
+
+
+# C++ apps need to be linked with g++.
+#
+# Note: flock is used to seralize linking. Linking is a memory-intensive
+# process so running parallel links can often lead to thrashing.  To disable
+# the serialization, override LINK via an envrionment variable as follows:
+#
+#   export LINK=g++
+#
+# This will allow make to invoke N linker processes as specified in -jN.
+LINK ?= flock $(builddir)/linker.lock $(CXX)
+
+CC.target ?= $(CC)
+CFLAGS.target ?= $(CFLAGS)
+CXX.target ?= $(CXX)
+CXXFLAGS.target ?= $(CXXFLAGS)
+LINK.target ?= $(LINK)
+LDFLAGS.target ?= $(LDFLAGS) 
+AR.target ?= $(AR)
+ARFLAGS.target ?= crsT
+
+# N.B.: the logic of which commands to run should match the computation done
+# in gyp's make.py where ARFLAGS.host etc. is computed.
+# TODO(evan): move all cross-compilation logic to gyp-time so we don't need
+# to replicate this environment fallback in make as well.
+CC.host ?= gcc
+CFLAGS.host ?=
+CXX.host ?= g++
+CXXFLAGS.host ?=
+LINK.host ?= g++
+LDFLAGS.host ?=
+AR.host ?= ar
+ARFLAGS.host := crsT
+
+# Define a dir function that can handle spaces.
+# http://www.gnu.org/software/make/manual/make.html#Syntax-of-Functions
+# "leading spaces cannot appear in the text of the first argument as written.
+# These characters can be put into the argument value by variable substitution."
+empty :=
+space := $(empty) $(empty)
+
+# http://stackoverflow.com/questions/1189781/using-make-dir-or-notdir-on-a-path-with-spaces
+replace_spaces = $(subst $(space),?,$1)
+unreplace_spaces = $(subst ?,$(space),$1)
+dirx = $(call unreplace_spaces,$(dir $(call replace_spaces,$1)))
+
+# Flags to make gcc output dependency info.  Note that you need to be
+# careful here to use the flags that ccache and distcc can understand.
+# We write to a dep file on the side first and then rename at the end
+# so we can't end up with a broken dep file.
+depfile = $(depsdir)/$(call replace_spaces,$@).d
+DEPFLAGS = -MMD -MF $(depfile).raw
+
+# We have to fixup the deps output in a few ways.
+# (1) the file output should mention the proper .o file.
+# ccache or distcc lose the path to the target, so we convert a rule of
+# the form:
+#   foobar.o: DEP1 DEP2
+# into
+#   path/to/foobar.o: DEP1 DEP2
+# (2) we want missing files not to cause us to fail to build.
+# We want to rewrite
+#   foobar.o: DEP1 DEP2 \
+#               DEP3
+# to
+#   DEP1:
+#   DEP2:
+#   DEP3:
+# so if the files are missing, they're just considered phony rules.
+# We have to do some pretty insane escaping to get those backslashes
+# and dollar signs past make, the shell, and sed at the same time.
+# Doesn't work with spaces, but that's fine: .d files have spaces in
+# their names replaced with other characters.
+define fixup_dep
+# The depfile may not exist if the input file didn't have any #includes.
+touch $(depfile).raw
+# Fixup path as in (1).
+sed -e "s|^$(notdir $@)|$@|" $(depfile).raw >> $(depfile)
+# Add extra rules as in (2).
+# We remove slashes and replace spaces with new lines;
+# remove blank lines;
+# delete the first line and append a colon to the remaining lines.
+sed -e 's|\\||' -e 'y| |\n|' $(depfile).raw |\
+  grep -v '^$$'                             |\
+  sed -e 1d -e 's|$$|:|'                     \
+    >> $(depfile)
+rm $(depfile).raw
+endef
+
+# Command definitions:
+# - cmd_foo is the actual command to run;
+# - quiet_cmd_foo is the brief-output summary of the command.
+
+quiet_cmd_cc = CC($(TOOLSET)) $@
+cmd_cc = $(CC.$(TOOLSET)) $(GYP_CFLAGS) $(DEPFLAGS) $(CFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_cxx = CXX($(TOOLSET)) $@
+cmd_cxx = $(CXX.$(TOOLSET)) $(GYP_CXXFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_touch = TOUCH $@
+cmd_touch = touch $@
+
+quiet_cmd_copy = COPY $@
+# send stderr to /dev/null to ignore messages when linking directories.
+cmd_copy = ln -f "$<" "$@" 2>/dev/null || (rm -rf "$@" && cp -af "$<" "$@")
+
+quiet_cmd_alink = AR($(TOOLSET)) $@
+cmd_alink = rm -f $@ && $(AR.$(TOOLSET)) $(ARFLAGS.$(TOOLSET)) $@ $(filter %.o,$^)
+
+# Due to circular dependencies between libraries :(, we wrap the
+# special "figure out circular dependencies" flags around the entire
+# input list during linking.
+quiet_cmd_link = LINK($(TOOLSET)) $@
+cmd_link = $(LINK.$(TOOLSET)) $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o $@ -Wl,--start-group $(LD_INPUTS) -Wl,--end-group $(LIBS)
+
+# We support two kinds of shared objects (.so):
+# 1) shared_library, which is just bundling together many dependent libraries
+# into a link line.
+# 2) loadable_module, which is generating a module intended for dlopen().
+#
+# They differ only slightly:
+# In the former case, we want to package all dependent code into the .so.
+# In the latter case, we want to package just the API exposed by the
+# outermost module.
+# This means shared_library uses --whole-archive, while loadable_module doesn't.
+# (Note that --whole-archive is incompatible with the --start-group used in
+# normal linking.)
+
+# Other shared-object link notes:
+# - Set SONAME to the library filename so our binaries don't reference
+# the local, absolute paths used on the link command-line.
+quiet_cmd_solink = SOLINK($(TOOLSET)) $@
+cmd_solink = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--whole-archive $(LD_INPUTS) -Wl,--no-whole-archive $(LIBS)
+
+quiet_cmd_solink_module = SOLINK_MODULE($(TOOLSET)) $@
+cmd_solink_module = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--start-group $(filter-out FORCE_DO_CMD, $^) -Wl,--end-group $(LIBS)
+
+
+# Define an escape_quotes function to escape single quotes.
+# This allows us to handle quotes properly as long as we always use
+# use single quotes and escape_quotes.
+escape_quotes = $(subst ','\'',$(1))
+# This comment is here just to include a ' to unconfuse syntax highlighting.
+# Define an escape_vars function to escape '$' variable syntax.
+# This allows us to read/write command lines with shell variables (e.g.
+# $LD_LIBRARY_PATH), without triggering make substitution.
+escape_vars = $(subst $$,$$$$,$(1))
+# Helper that expands to a shell command to echo a string exactly as it is in
+# make. This uses printf instead of echo because printf's behaviour with respect
+# to escape sequences is more portable than echo's across different shells
+# (e.g., dash, bash).
+exact_echo = printf '%s\n' '$(call escape_quotes,$(1))'
+
+# Helper to compare the command we're about to run against the command
+# we logged the last time we ran the command.  Produces an empty
+# string (false) when the commands match.
+# Tricky point: Make has no string-equality test function.
+# The kernel uses the following, but it seems like it would have false
+# positives, where one string reordered its arguments.
+#   arg_check = $(strip $(filter-out $(cmd_$(1)), $(cmd_$@)) \
+#                       $(filter-out $(cmd_$@), $(cmd_$(1))))
+# We instead substitute each for the empty string into the other, and
+# say they're equal if both substitutions produce the empty string.
+# .d files contain ? instead of spaces, take that into account.
+command_changed = $(or $(subst $(cmd_$(1)),,$(cmd_$(call replace_spaces,$@))),\
+                       $(subst $(cmd_$(call replace_spaces,$@)),,$(cmd_$(1))))
+
+# Helper that is non-empty when a prerequisite changes.
+# Normally make does this implicitly, but we force rules to always run
+# so we can check their command lines.
+#   $? -- new prerequisites
+#   $| -- order-only dependencies
+prereq_changed = $(filter-out FORCE_DO_CMD,$(filter-out $|,$?))
+
+# Helper that executes all postbuilds, and deletes the output file when done
+# if any of the postbuilds failed.
+define do_postbuilds
+  @E=0;\
+  for p in $(POSTBUILDS); do\
+    eval $$p;\
+    F=$$?;\
+    if [ $$F -ne 0 ]; then\
+      E=$$F;\
+    fi;\
+  done;\
+  if [ $$E -ne 0 ]; then\
+    rm -rf "$@";\
+    exit $$E;\
+  fi
+endef
+
+# do_cmd: run a command via the above cmd_foo names, if necessary.
+# Should always run for a given target to handle command-line changes.
+# Second argument, if non-zero, makes it do asm/C/C++ dependency munging.
+# Third argument, if non-zero, makes it do POSTBUILDS processing.
+# Note: We intentionally do NOT call dirx for depfile, since it contains ? for
+# spaces already and dirx strips the ? characters.
+define do_cmd
+$(if $(or $(command_changed),$(prereq_changed)),
+  @$(call exact_echo,  $($(quiet)cmd_$(1)))
+  @mkdir -p "$(call dirx,$@)" "$(dir $(depfile))"
+  $(if $(findstring flock,$(word 1,$(cmd_$1))),
+    @$(cmd_$(1))
+    @echo "  $(quiet_cmd_$(1)): Finished",
+    @$(cmd_$(1))
+  )
+  @$(call exact_echo,$(call escape_vars,cmd_$(call replace_spaces,$@) := $(cmd_$(1)))) > $(depfile)
+  @$(if $(2),$(fixup_dep))
+  $(if $(and $(3), $(POSTBUILDS)),
+    $(call do_postbuilds)
+  )
+)
+endef
+
+# Declare "all" target first so it is the default, even though we don't have the
+# deps yet.
+.PHONY: all
+all:
+
+# Use FORCE_DO_CMD to force a target to run.  Should be coupled with
+# do_cmd.
+.PHONY: FORCE_DO_CMD
+FORCE_DO_CMD:
+
+TOOLSET := target
+# Suffix rules, putting all outputs into $(obj).
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
+endif
+
+quiet_cmd_regen_makefile = ACTION Regenerating $@
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/unix" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=linux" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
+       $(call do_cmd,regen_makefile)
+
+# "all" is a concatenation of the "all" targets from all the included
+# sub-makefiles. This is just here to clarify.
+all:
+
+# Add in dependency-tracking rules.  $(all_deps) is the list of every single
+# target in our tree. Only consider the ones with .d (dependency) info:
+d_files := $(wildcard $(foreach f,$(all_deps),$(depsdir)/$(f).d))
+ifneq ($(d_files),)
+  # Rather than include each individual .d file, concatenate them into a
+  # single file which make is able to load faster.  We split this into
+  # commands that take 1000 files at a time to avoid overflowing the
+  # command line.
+  $(shell cat $(wordlist 1,1000,$(d_files)) > $(depsdir)/all.deps)
+
+  ifneq ($(word 1001,$(d_files)),)
+    $(error Found unprocessed dependency files (gyp didn't generate enough rules!))
+  endif
+
+  # make looks for ways to re-generate included makefiles, but in our case, we
+  # don't have a direct way. Explicitly telling make that it has nothing to do
+  # for them makes it go faster.
+  $(depsdir)/all.deps: ;
+
+  include $(depsdir)/all.deps
+endif
diff --git a/mk/libuv/x86_64/unix/src/libuv/run-benchmarks.target.mk b/mk/libuv/x86_64/unix/src/libuv/run-benchmarks.target.mk
new file mode 100644 (file)
index 0000000..b5680d2
--- /dev/null
@@ -0,0 +1,83 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-benchmarks
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -pthread
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/benchmark-ares.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-ping-pongs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pound.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pump.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-sizes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-tcp-write-batch.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-udp-packet-storm.o \
+       $(obj).target/$(TARGET)/src/libuv/test/dns-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-benchmarks.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := -lrt
+
+$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-benchmarks: LIBS := $(LIBS)
+$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
+$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-benchmarks
+# Add target alias
+.PHONY: run-benchmarks
+run-benchmarks: $(builddir)/run-benchmarks
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-benchmarks
+
diff --git a/mk/libuv/x86_64/unix/src/libuv/run-tests.target.mk b/mk/libuv/x86_64/unix/src/libuv/run-tests.target.mk
new file mode 100644 (file)
index 0000000..34bc855
--- /dev/null
@@ -0,0 +1,117 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-tests
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144'
+
+# Flags passed to all source files.
+CFLAGS_Default := -pthread
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-tests.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-loadavg.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-async.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-callback-stack.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-connection-fail.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-delayed-accept.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fail-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-currentexe.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-memory.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getsockname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-hrtime.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-idle.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ipc.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-loop-handles.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-multiple-listen.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pass-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ping-pong.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ref.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-shutdown-eof.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-stdio-over-pipes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-close.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-flags.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-to-half-open-connection.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-writealot.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer-again.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tty.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-dgram-too-big.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-ipv6.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-send-and-recv.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-multicast-join.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-unix.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := -lrt
+
+$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-tests: LIBS := $(LIBS)
+$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-tests: TOOLSET := $(TOOLSET)
+$(builddir)/run-tests: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-tests
+# Add target alias
+.PHONY: run-tests
+run-tests: $(builddir)/run-tests
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-tests
+
diff --git a/mk/libuv/x86_64/unix/src/libuv/uv.Makefile b/mk/libuv/x86_64/unix/src/libuv/uv.Makefile
new file mode 100644 (file)
index 0000000..3842bae
--- /dev/null
@@ -0,0 +1,6 @@
+# This file is generated by gyp; do not edit.
+
+export builddir_name ?= mk/libuv/x86_64/unix/./src/libuv/out
+.PHONY: all
+all:
+       $(MAKE) -C ../.. uv run-benchmarks run-tests
diff --git a/mk/libuv/x86_64/unix/src/libuv/uv.target.mk b/mk/libuv/x86_64/unix/src/libuv/uv.target.mk
new file mode 100644 (file)
index 0000000..65c2cf1
--- /dev/null
@@ -0,0 +1,137 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := uv
+DEFS_Default := '-D_LARGEFILE_SOURCE' \
+       '-D_FILE_OFFSET_BITS=64' \
+       '-D_GNU_SOURCE' \
+       '-DEIO_STACKSIZE=262144' \
+       '-DHAVE_CONFIG_H' \
+       '-DEV_CONFIG_H="config_linux.h"' \
+       '-DEIO_CONFIG_H="config_linux.h"'
+
+# Flags passed to all source files.
+CFLAGS_Default := -pthread \
+       -g \
+       --std=gnu89 \
+       -pedantic \
+       -Wall \
+       -Wextra \
+       -Wno-unused-parameter
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include \
+       -I$(srcdir)/src/libuv/include/uv-private \
+       -I$(srcdir)/src/libuv/src \
+       -I$(srcdir)/src/libuv/src/unix/ev \
+       -I$(srcdir)/src/libuv/src/ares/config_linux
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/src/uv-common.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_cancel.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__close_sockets.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_data.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_destroy.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_name.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_fds.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyaddr.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__get_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getnameinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getopt.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getsock.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_library_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_llist.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_mkquery.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_nowarn.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_options.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_aaaa_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_a_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_mx_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ns_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ptr_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_srv_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_txt_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_query.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__read_line.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_search.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_send.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strcasecmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strdup.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strerror.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_timeout.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__timeval.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_version.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_writev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/bitncmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_net_pton.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_ntop.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/windows_port.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/core.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/uv-eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/fs.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/udp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tcp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/pipe.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/tty.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/stream.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/cares.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/dl.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/error.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/eio/eio.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/ev/ev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/unix/linux.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := -lm
+
+$(obj).target/src/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(obj).target/src/libuv/libuv.a: LIBS := $(LIBS)
+$(obj).target/src/libuv/libuv.a: TOOLSET := $(TOOLSET)
+$(obj).target/src/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
+       $(call do_cmd,alink)
+
+all_deps += $(obj).target/src/libuv/libuv.a
+# Add target alias
+.PHONY: uv
+uv: $(obj).target/src/libuv/libuv.a
+
+# Add target alias to "all" target.
+.PHONY: all
+all: uv
+
diff --git a/mk/libuv/x86_64/unix/src/rt/libuv/run-benchmarks.target.mk b/mk/libuv/x86_64/unix/src/rt/libuv/run-benchmarks.target.mk
deleted file mode 100644 (file)
index e3a114b..0000000
+++ /dev/null
@@ -1,83 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-benchmarks
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -pthread
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ping-pongs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pound.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pump.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-sizes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-tcp-write-batch.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-udp-packet-storm.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/dns-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-benchmarks.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := -lrt
-
-$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-benchmarks: LIBS := $(LIBS)
-$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
-$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-benchmarks
-# Add target alias
-.PHONY: run-benchmarks
-run-benchmarks: $(builddir)/run-benchmarks
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-benchmarks
-
diff --git a/mk/libuv/x86_64/unix/src/rt/libuv/run-tests.target.mk b/mk/libuv/x86_64/unix/src/rt/libuv/run-tests.target.mk
deleted file mode 100644 (file)
index 4fc85cc..0000000
+++ /dev/null
@@ -1,117 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-tests
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144'
-
-# Flags passed to all source files.
-CFLAGS_Default := -pthread
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-tests.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-loadavg.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-callback-stack.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-connection-fail.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-delayed-accept.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fail-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-currentexe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-memory.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getsockname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-hrtime.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-idle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ipc.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-loop-handles.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-multiple-listen.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pass-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ping-pong.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ref.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-shutdown-eof.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-stdio-over-pipes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-close.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-flags.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-to-half-open-connection.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-writealot.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer-again.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-dgram-too-big.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-ipv6.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-send-and-recv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-multicast-join.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-unix.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := -lrt
-
-$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-tests: LIBS := $(LIBS)
-$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-tests: TOOLSET := $(TOOLSET)
-$(builddir)/run-tests: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-tests
-# Add target alias
-.PHONY: run-tests
-run-tests: $(builddir)/run-tests
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-tests
-
diff --git a/mk/libuv/x86_64/unix/src/rt/libuv/uv.Makefile b/mk/libuv/x86_64/unix/src/rt/libuv/uv.Makefile
deleted file mode 100644 (file)
index b635300..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-export builddir_name ?= mk/libuv/x86_64/unix/./src/rt/libuv/out
-.PHONY: all
-all:
-       $(MAKE) -C ../../.. uv run-tests run-benchmarks
diff --git a/mk/libuv/x86_64/unix/src/rt/libuv/uv.target.mk b/mk/libuv/x86_64/unix/src/rt/libuv/uv.target.mk
deleted file mode 100644 (file)
index fa97673..0000000
+++ /dev/null
@@ -1,137 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := uv
-DEFS_Default := '-D_LARGEFILE_SOURCE' \
-       '-D_FILE_OFFSET_BITS=64' \
-       '-D_GNU_SOURCE' \
-       '-DEIO_STACKSIZE=262144' \
-       '-DHAVE_CONFIG_H' \
-       '-DEV_CONFIG_H="config_linux.h"' \
-       '-DEIO_CONFIG_H="config_linux.h"'
-
-# Flags passed to all source files.
-CFLAGS_Default := -pthread \
-       -g \
-       --std=gnu89 \
-       -pedantic \
-       -Wall \
-       -Wextra \
-       -Wno-unused-parameter
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include \
-       -I$(srcdir)/src/rt/libuv/include/uv-private \
-       -I$(srcdir)/src/rt/libuv/src \
-       -I$(srcdir)/src/rt/libuv/src/unix/ev \
-       -I$(srcdir)/src/rt/libuv/src/ares/config_linux
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/src/uv-common.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_cancel.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__close_sockets.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_data.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_destroy.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_name.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_fds.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyaddr.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__get_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getnameinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getopt.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getsock.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_library_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_llist.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_mkquery.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_nowarn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_options.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_aaaa_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_a_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_mx_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ns_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ptr_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_srv_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_txt_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_query.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__read_line.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_search.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_send.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strcasecmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strdup.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strerror.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_timeout.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__timeval.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_version.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_writev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/bitncmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_net_pton.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_ntop.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/windows_port.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/core.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/uv-eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/udp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tcp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/pipe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/stream.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/cares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/dl.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/eio/eio.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/ev/ev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/unix/linux.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := -lm
-
-$(obj).target/src/rt/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(obj).target/src/rt/libuv/libuv.a: LIBS := $(LIBS)
-$(obj).target/src/rt/libuv/libuv.a: TOOLSET := $(TOOLSET)
-$(obj).target/src/rt/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
-       $(call do_cmd,alink)
-
-all_deps += $(obj).target/src/rt/libuv/libuv.a
-# Add target alias
-.PHONY: uv
-uv: $(obj).target/src/rt/libuv/libuv.a
-
-# Add target alias to "all" target.
-.PHONY: all
-all: uv
-
index cc92055b2a28618e7228472dd2dff90fbc940133..9597ce768ca358c12c3e2c102ed1e8e35dba7a5e 100644 (file)
@@ -307,23 +307,23 @@ $(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
 
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-benchmarks.target.mk)))),)
-  include src/rt/libuv/run-benchmarks.target.mk
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/run-tests.target.mk)))),)
-  include src/rt/libuv/run-tests.target.mk
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
 endif
 ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
     $(findstring $(join ^,$(prefix)),\
-                 $(join ^,src/rt/libuv/uv.target.mk)))),)
-  include src/rt/libuv/uv.target.mk
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
 endif
 
 quiet_cmd_regen_makefile = ACTION Regenerating $@
-cmd_regen_makefile = ./src/rt/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/win" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=win" src/rt/libuv/uv.gyp
-#Makefile: $(srcdir)/src/rt/libuv/uv.gyp
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/win" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=win" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
 #      $(call do_cmd,regen_makefile)
 
 # "all" is a concatenation of the "all" targets from all the included
diff --git a/mk/libuv/x86_64/win/Makefile-e b/mk/libuv/x86_64/win/Makefile-e
new file mode 100644 (file)
index 0000000..d7c2077
--- /dev/null
@@ -0,0 +1,353 @@
+# We borrow heavily from the kernel build setup, though we are simpler since
+# we don't have Kconfig tweaking settings on us.
+
+# The implicit make rules have it looking for RCS files, among other things.
+# We instead explicitly write all the rules we care about.
+# It's even quicker (saves ~200ms) to pass -r on the command line.
+MAKEFLAGS=-r
+
+# The source directory tree.
+srcdir := ../../../..
+
+# The name of the builddir.
+builddir_name ?= out
+
+# The V=1 flag on command line makes us verbosely print command lines.
+ifdef V
+  quiet=
+else
+  quiet=quiet_
+endif
+
+# Specify BUILDTYPE=Release on the command line for a release build.
+BUILDTYPE ?= Default
+
+# Directory all our build output goes into.
+# Note that this must be two directories beneath src/ for unit tests to pass,
+# as they reach into the src/ directory for data with relative paths.
+builddir ?= $(builddir_name)/$(BUILDTYPE)
+abs_builddir := $(abspath $(builddir))
+depsdir := $(builddir)/.deps
+
+# Object output directory.
+obj := $(builddir)/obj
+abs_obj := $(abspath $(obj))
+
+# We build up a list of every single one of the targets so we can slurp in the
+# generated dependency rule Makefiles in one pass.
+all_deps :=
+
+
+
+# C++ apps need to be linked with g++.
+#
+# Note: flock is used to seralize linking. Linking is a memory-intensive
+# process so running parallel links can often lead to thrashing.  To disable
+# the serialization, override LINK via an envrionment variable as follows:
+#
+#   export LINK=g++
+#
+# This will allow make to invoke N linker processes as specified in -jN.
+LINK ?= flock $(builddir)/linker.lock $(CXX)
+
+CC.target ?= $(CC)
+CFLAGS.target ?= $(CFLAGS)
+CXX.target ?= $(CXX)
+CXXFLAGS.target ?= $(CXXFLAGS)
+LINK.target ?= $(LINK)
+LDFLAGS.target ?= $(LDFLAGS) 
+AR.target ?= $(AR)
+ARFLAGS.target ?= crsT
+
+# N.B.: the logic of which commands to run should match the computation done
+# in gyp's make.py where ARFLAGS.host etc. is computed.
+# TODO(evan): move all cross-compilation logic to gyp-time so we don't need
+# to replicate this environment fallback in make as well.
+CC.host ?= gcc
+CFLAGS.host ?=
+CXX.host ?= g++
+CXXFLAGS.host ?=
+LINK.host ?= g++
+LDFLAGS.host ?=
+AR.host ?= ar
+ARFLAGS.host := crsT
+
+# Define a dir function that can handle spaces.
+# http://www.gnu.org/software/make/manual/make.html#Syntax-of-Functions
+# "leading spaces cannot appear in the text of the first argument as written.
+# These characters can be put into the argument value by variable substitution."
+empty :=
+space := $(empty) $(empty)
+
+# http://stackoverflow.com/questions/1189781/using-make-dir-or-notdir-on-a-path-with-spaces
+replace_spaces = $(subst $(space),?,$1)
+unreplace_spaces = $(subst ?,$(space),$1)
+dirx = $(call unreplace_spaces,$(dir $(call replace_spaces,$1)))
+
+# Flags to make gcc output dependency info.  Note that you need to be
+# careful here to use the flags that ccache and distcc can understand.
+# We write to a dep file on the side first and then rename at the end
+# so we can't end up with a broken dep file.
+depfile = $(depsdir)/$(call replace_spaces,$@).d
+DEPFLAGS = -MMD -MF $(depfile).raw
+
+# We have to fixup the deps output in a few ways.
+# (1) the file output should mention the proper .o file.
+# ccache or distcc lose the path to the target, so we convert a rule of
+# the form:
+#   foobar.o: DEP1 DEP2
+# into
+#   path/to/foobar.o: DEP1 DEP2
+# (2) we want missing files not to cause us to fail to build.
+# We want to rewrite
+#   foobar.o: DEP1 DEP2 \
+#               DEP3
+# to
+#   DEP1:
+#   DEP2:
+#   DEP3:
+# so if the files are missing, they're just considered phony rules.
+# We have to do some pretty insane escaping to get those backslashes
+# and dollar signs past make, the shell, and sed at the same time.
+# Doesn't work with spaces, but that's fine: .d files have spaces in
+# their names replaced with other characters.
+define fixup_dep
+# The depfile may not exist if the input file didn't have any #includes.
+touch $(depfile).raw
+# Fixup path as in (1).
+sed -e "s|^$(notdir $@)|$@|" $(depfile).raw >> $(depfile)
+# Add extra rules as in (2).
+# We remove slashes and replace spaces with new lines;
+# remove blank lines;
+# delete the first line and append a colon to the remaining lines.
+sed -e 's|\\||' -e 'y| |\n|' $(depfile).raw |\
+  grep -v '^$$'                             |\
+  sed -e 1d -e 's|$$|:|'                     \
+    >> $(depfile)
+rm $(depfile).raw
+endef
+
+# Command definitions:
+# - cmd_foo is the actual command to run;
+# - quiet_cmd_foo is the brief-output summary of the command.
+
+quiet_cmd_cc = CC($(TOOLSET)) $@
+cmd_cc = $(CC.$(TOOLSET)) $(GYP_CFLAGS) $(DEPFLAGS) $(CFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_cxx = CXX($(TOOLSET)) $@
+cmd_cxx = $(CXX.$(TOOLSET)) $(GYP_CXXFLAGS) $(DEPFLAGS) $(CXXFLAGS.$(TOOLSET)) -c -o $@ $<
+
+quiet_cmd_touch = TOUCH $@
+cmd_touch = touch $@
+
+quiet_cmd_copy = COPY $@
+# send stderr to /dev/null to ignore messages when linking directories.
+cmd_copy = ln -f "$<" "$@" 2>/dev/null || (rm -rf "$@" && cp -af "$<" "$@")
+
+quiet_cmd_alink = AR($(TOOLSET)) $@
+cmd_alink = rm -f $@ && $(AR.$(TOOLSET)) $(ARFLAGS.$(TOOLSET)) $@ $(filter %.o,$^)
+
+# Due to circular dependencies between libraries :(, we wrap the
+# special "figure out circular dependencies" flags around the entire
+# input list during linking.
+quiet_cmd_link = LINK($(TOOLSET)) $@
+cmd_link = $(LINK.$(TOOLSET)) $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -o $@ -Wl,--start-group $(LD_INPUTS) -Wl,--end-group $(LIBS)
+
+# We support two kinds of shared objects (.so):
+# 1) shared_library, which is just bundling together many dependent libraries
+# into a link line.
+# 2) loadable_module, which is generating a module intended for dlopen().
+#
+# They differ only slightly:
+# In the former case, we want to package all dependent code into the .so.
+# In the latter case, we want to package just the API exposed by the
+# outermost module.
+# This means shared_library uses --whole-archive, while loadable_module doesn't.
+# (Note that --whole-archive is incompatible with the --start-group used in
+# normal linking.)
+
+# Other shared-object link notes:
+# - Set SONAME to the library filename so our binaries don't reference
+# the local, absolute paths used on the link command-line.
+quiet_cmd_solink = SOLINK($(TOOLSET)) $@
+cmd_solink = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--whole-archive $(LD_INPUTS) -Wl,--no-whole-archive $(LIBS)
+
+quiet_cmd_solink_module = SOLINK_MODULE($(TOOLSET)) $@
+cmd_solink_module = $(LINK.$(TOOLSET)) -shared $(GYP_LDFLAGS) $(LDFLAGS.$(TOOLSET)) -Wl,-soname=$(@F) -o $@ -Wl,--start-group $(filter-out FORCE_DO_CMD, $^) -Wl,--end-group $(LIBS)
+
+
+# Define an escape_quotes function to escape single quotes.
+# This allows us to handle quotes properly as long as we always use
+# use single quotes and escape_quotes.
+escape_quotes = $(subst ','\'',$(1))
+# This comment is here just to include a ' to unconfuse syntax highlighting.
+# Define an escape_vars function to escape '$' variable syntax.
+# This allows us to read/write command lines with shell variables (e.g.
+# $LD_LIBRARY_PATH), without triggering make substitution.
+escape_vars = $(subst $$,$$$$,$(1))
+# Helper that expands to a shell command to echo a string exactly as it is in
+# make. This uses printf instead of echo because printf's behaviour with respect
+# to escape sequences is more portable than echo's across different shells
+# (e.g., dash, bash).
+exact_echo = printf '%s\n' '$(call escape_quotes,$(1))'
+
+# Helper to compare the command we're about to run against the command
+# we logged the last time we ran the command.  Produces an empty
+# string (false) when the commands match.
+# Tricky point: Make has no string-equality test function.
+# The kernel uses the following, but it seems like it would have false
+# positives, where one string reordered its arguments.
+#   arg_check = $(strip $(filter-out $(cmd_$(1)), $(cmd_$@)) \
+#                       $(filter-out $(cmd_$@), $(cmd_$(1))))
+# We instead substitute each for the empty string into the other, and
+# say they're equal if both substitutions produce the empty string.
+# .d files contain ? instead of spaces, take that into account.
+command_changed = $(or $(subst $(cmd_$(1)),,$(cmd_$(call replace_spaces,$@))),\
+                       $(subst $(cmd_$(call replace_spaces,$@)),,$(cmd_$(1))))
+
+# Helper that is non-empty when a prerequisite changes.
+# Normally make does this implicitly, but we force rules to always run
+# so we can check their command lines.
+#   $? -- new prerequisites
+#   $| -- order-only dependencies
+prereq_changed = $(filter-out FORCE_DO_CMD,$(filter-out $|,$?))
+
+# Helper that executes all postbuilds, and deletes the output file when done
+# if any of the postbuilds failed.
+define do_postbuilds
+  @E=0;\
+  for p in $(POSTBUILDS); do\
+    eval $$p;\
+    F=$$?;\
+    if [ $$F -ne 0 ]; then\
+      E=$$F;\
+    fi;\
+  done;\
+  if [ $$E -ne 0 ]; then\
+    rm -rf "$@";\
+    exit $$E;\
+  fi
+endef
+
+# do_cmd: run a command via the above cmd_foo names, if necessary.
+# Should always run for a given target to handle command-line changes.
+# Second argument, if non-zero, makes it do asm/C/C++ dependency munging.
+# Third argument, if non-zero, makes it do POSTBUILDS processing.
+# Note: We intentionally do NOT call dirx for depfile, since it contains ? for
+# spaces already and dirx strips the ? characters.
+define do_cmd
+$(if $(or $(command_changed),$(prereq_changed)),
+  @$(call exact_echo,  $($(quiet)cmd_$(1)))
+  @mkdir -p "$(call dirx,$@)" "$(dir $(depfile))"
+  $(if $(findstring flock,$(word 1,$(cmd_$1))),
+    @$(cmd_$(1))
+    @echo "  $(quiet_cmd_$(1)): Finished",
+    @$(cmd_$(1))
+  )
+  @$(call exact_echo,$(call escape_vars,cmd_$(call replace_spaces,$@) := $(cmd_$(1)))) > $(depfile)
+  @$(if $(2),$(fixup_dep))
+  $(if $(and $(3), $(POSTBUILDS)),
+    $(call do_postbuilds)
+  )
+)
+endef
+
+# Declare "all" target first so it is the default, even though we don't have the
+# deps yet.
+.PHONY: all
+all:
+
+# Use FORCE_DO_CMD to force a target to run.  Should be coupled with
+# do_cmd.
+.PHONY: FORCE_DO_CMD
+FORCE_DO_CMD:
+
+TOOLSET := target
+# Suffix rules, putting all outputs into $(obj).
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(srcdir)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj).$(TOOLSET)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cc FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cpp FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.cxx FORCE_DO_CMD
+       @$(call do_cmd,cxx,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.S FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+$(obj).$(TOOLSET)/%.o: $(obj)/%.s FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-benchmarks.target.mk)))),)
+  include src/libuv/run-benchmarks.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/run-tests.target.mk)))),)
+  include src/libuv/run-tests.target.mk
+endif
+ifeq ($(strip $(foreach prefix,$(NO_LOAD),\
+    $(findstring $(join ^,$(prefix)),\
+                 $(join ^,src/libuv/uv.target.mk)))),)
+  include src/libuv/uv.target.mk
+endif
+
+quiet_cmd_regen_makefile = ACTION Regenerating $@
+cmd_regen_makefile = ./src/libuv/build/gyp/gyp -fmake --ignore-environment "--toplevel-dir=." "--depth=." "--generator-output=mk/libuv/x86_64/win" "-Dlibrary=static_library" "-Dtarget_arch=x86_64" "-DOS=win" src/libuv/uv.gyp
+Makefile: $(srcdir)/src/libuv/uv.gyp
+       $(call do_cmd,regen_makefile)
+
+# "all" is a concatenation of the "all" targets from all the included
+# sub-makefiles. This is just here to clarify.
+all:
+
+# Add in dependency-tracking rules.  $(all_deps) is the list of every single
+# target in our tree. Only consider the ones with .d (dependency) info:
+d_files := $(wildcard $(foreach f,$(all_deps),$(depsdir)/$(f).d))
+ifneq ($(d_files),)
+  # Rather than include each individual .d file, concatenate them into a
+  # single file which make is able to load faster.  We split this into
+  # commands that take 1000 files at a time to avoid overflowing the
+  # command line.
+  $(shell cat $(wordlist 1,1000,$(d_files)) > $(depsdir)/all.deps)
+
+  ifneq ($(word 1001,$(d_files)),)
+    $(error Found unprocessed dependency files (gyp didn't generate enough rules!))
+  endif
+
+  # make looks for ways to re-generate included makefiles, but in our case, we
+  # don't have a direct way. Explicitly telling make that it has nothing to do
+  # for them makes it go faster.
+  $(depsdir)/all.deps: ;
+
+  include $(depsdir)/all.deps
+endif
diff --git a/mk/libuv/x86_64/win/src/libuv/run-benchmarks.target.mk b/mk/libuv/x86_64/win/src/libuv/run-benchmarks.target.mk
new file mode 100644 (file)
index 0000000..68dc04f
--- /dev/null
@@ -0,0 +1,81 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-benchmarks
+DEFS_Default := 
+
+# Flags passed to all source files.
+CFLAGS_Default := 
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/benchmark-ares.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-ping-pongs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pound.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-pump.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-sizes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-tcp-write-batch.o \
+       $(obj).target/$(TARGET)/src/libuv/test/benchmark-udp-packet-storm.o \
+       $(obj).target/$(TARGET)/src/libuv/test/dns-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-benchmarks.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-win.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := ws2_32.lib \
+       -lws2_32.lib
+
+$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-benchmarks: LIBS := $(LIBS)
+$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
+$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-benchmarks
+# Add target alias
+.PHONY: run-benchmarks
+run-benchmarks: $(builddir)/run-benchmarks
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-benchmarks
+
diff --git a/mk/libuv/x86_64/win/src/libuv/run-tests.target.mk b/mk/libuv/x86_64/win/src/libuv/run-tests.target.mk
new file mode 100644 (file)
index 0000000..9b09db7
--- /dev/null
@@ -0,0 +1,115 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := run-tests
+DEFS_Default := 
+
+# Flags passed to all source files.
+CFLAGS_Default := 
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/test/blackhole-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/echo-server.o \
+       $(obj).target/$(TARGET)/src/libuv/test/run-tests.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-loadavg.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-async.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-callback-stack.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-connection-fail.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-delayed-accept.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fail-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-currentexe.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-get-memory.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-getsockname.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-hrtime.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-idle.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ipc.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-loop-handles.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-multiple-listen.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pass-always.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ping-pong.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-pipe-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-ref.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-shutdown-eof.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-spawn.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-stdio-over-pipes.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-bind6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-close.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-flags.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-connect6-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-error.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-write-to-half-open-connection.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tcp-writealot.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer-again.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-timer.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-tty.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-dgram-too-big.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-ipv6.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-send-and-recv.o \
+       $(obj).target/$(TARGET)/src/libuv/test/test-udp-multicast-join.o \
+       $(obj).target/$(TARGET)/src/libuv/test/runner-win.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# Make sure our dependencies are built before any of us.
+$(OBJS): | $(obj).target/src/libuv/libuv.a
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := ws2_32.lib \
+       -lws2_32.lib
+
+$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(builddir)/run-tests: LIBS := $(LIBS)
+$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/libuv/libuv.a
+$(builddir)/run-tests: TOOLSET := $(TOOLSET)
+$(builddir)/run-tests: $(OBJS) $(obj).target/src/libuv/libuv.a FORCE_DO_CMD
+       $(call do_cmd,link)
+
+all_deps += $(builddir)/run-tests
+# Add target alias
+.PHONY: run-tests
+run-tests: $(builddir)/run-tests
+
+# Add executable to "all" target.
+.PHONY: all
+all: $(builddir)/run-tests
+
diff --git a/mk/libuv/x86_64/win/src/libuv/uv.Makefile b/mk/libuv/x86_64/win/src/libuv/uv.Makefile
new file mode 100644 (file)
index 0000000..5c4f359
--- /dev/null
@@ -0,0 +1,6 @@
+# This file is generated by gyp; do not edit.
+
+export builddir_name ?= mk/libuv/x86_64/win/./src/libuv/out
+.PHONY: all
+all:
+       $(MAKE) -C ../.. uv run-benchmarks run-tests
diff --git a/mk/libuv/x86_64/win/src/libuv/uv.target.mk b/mk/libuv/x86_64/win/src/libuv/uv.target.mk
new file mode 100644 (file)
index 0000000..86ed707
--- /dev/null
@@ -0,0 +1,137 @@
+# This file is generated by gyp; do not edit.
+
+TOOLSET := target
+TARGET := uv
+DEFS_Default := '-DHAVE_CONFIG_H' \
+       '-D_WIN32_WINNT=0x0502' \
+       '-DEIO_STACKSIZE=262144' \
+       '-D_GNU_SOURCE'
+
+# Flags passed to all source files.
+CFLAGS_Default := 
+
+# Flags passed to only C files.
+CFLAGS_C_Default := 
+
+# Flags passed to only C++ files.
+CFLAGS_CC_Default := 
+
+INCS_Default := -I$(srcdir)/src/libuv/include \
+       -I$(srcdir)/src/libuv/include/uv-private \
+       -I$(srcdir)/src/libuv/src \
+       -I$(srcdir)/src/libuv/src/ares/config_win32
+
+OBJS := $(obj).target/$(TARGET)/src/libuv/src/uv-common.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_cancel.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__close_sockets.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_data.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_destroy.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_name.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_expand_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_fds.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_free_string.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyaddr.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_gethostbyname.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__get_hostent.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getnameinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getopt.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getsock.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_library_init.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_llist.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_mkquery.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_nowarn.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_options.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_aaaa_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_a_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_mx_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ns_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_ptr_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_srv_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_parse_txt_reply.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_query.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__read_line.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_search.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_send.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strcasecmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strdup.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_strerror.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_timeout.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares__timeval.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_version.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_writev.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/bitncmp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_net_pton.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/inet_ntop.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/windows_port.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_getenv.o \
+       $(obj).target/$(TARGET)/src/libuv/src/ares/ares_platform.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/async.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/cares.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/core.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/dl.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/error.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/fs.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/fs-event.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/getaddrinfo.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/handle.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/loop-watcher.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/pipe.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/process.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/req.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/stream.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/tcp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/tty.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/threadpool.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/threads.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/timer.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/udp.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/util.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/winapi.o \
+       $(obj).target/$(TARGET)/src/libuv/src/win/winsock.o
+
+# Add to the list of files we specially track dependencies for.
+all_deps += $(OBJS)
+
+# CFLAGS et al overrides must be target-local.
+# See "Target-specific Variable Values" in the GNU Make manual.
+$(OBJS): TOOLSET := $(TOOLSET)
+$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
+$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
+
+# Suffix rules, putting all outputs into $(obj).
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# Try building from generated source, too.
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
+       @$(call do_cmd,cc,1)
+
+# End of this set of suffix rules
+### Rules for final target.
+LDFLAGS_Default := 
+
+LIBS := 
+
+$(obj).target/src/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
+$(obj).target/src/libuv/libuv.a: LIBS := $(LIBS)
+$(obj).target/src/libuv/libuv.a: TOOLSET := $(TOOLSET)
+$(obj).target/src/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
+       $(call do_cmd,alink)
+
+all_deps += $(obj).target/src/libuv/libuv.a
+# Add target alias
+.PHONY: uv
+uv: $(obj).target/src/libuv/libuv.a
+
+# Add target alias to "all" target.
+.PHONY: all
+all: uv
+
diff --git a/mk/libuv/x86_64/win/src/rt/libuv/run-benchmarks.target.mk b/mk/libuv/x86_64/win/src/rt/libuv/run-benchmarks.target.mk
deleted file mode 100644 (file)
index 7337873..0000000
+++ /dev/null
@@ -1,81 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-benchmarks
-DEFS_Default := 
-
-# Flags passed to all source files.
-CFLAGS_Default := 
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-ping-pongs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pound.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-pump.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-sizes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-tcp-write-batch.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/benchmark-udp-packet-storm.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/dns-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-benchmarks.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-win.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := ws2_32.lib \
-       -lws2_32.lib
-
-$(builddir)/run-benchmarks: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-benchmarks: LIBS := $(LIBS)
-$(builddir)/run-benchmarks: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-benchmarks: TOOLSET := $(TOOLSET)
-$(builddir)/run-benchmarks: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-benchmarks
-# Add target alias
-.PHONY: run-benchmarks
-run-benchmarks: $(builddir)/run-benchmarks
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-benchmarks
-
diff --git a/mk/libuv/x86_64/win/src/rt/libuv/run-tests.target.mk b/mk/libuv/x86_64/win/src/rt/libuv/run-tests.target.mk
deleted file mode 100644 (file)
index 85403f2..0000000
+++ /dev/null
@@ -1,115 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := run-tests
-DEFS_Default := 
-
-# Flags passed to all source files.
-CFLAGS_Default := 
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/test/blackhole-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/echo-server.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/run-tests.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-loadavg.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-callback-stack.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-connection-fail.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-delayed-accept.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fail-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-currentexe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-get-memory.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-getsockname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-hrtime.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-idle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ipc.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-loop-handles.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-multiple-listen.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pass-always.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ping-pong.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-pipe-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-ref.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-shutdown-eof.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-spawn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-stdio-over-pipes.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-bind6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-close.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-flags.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-connect6-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-write-to-half-open-connection.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tcp-writealot.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer-again.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-dgram-too-big.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-ipv6.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-send-and-recv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/test-udp-multicast-join.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/test/runner-win.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# Make sure our dependencies are built before any of us.
-$(OBJS): | $(obj).target/src/rt/libuv/libuv.a
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := ws2_32.lib \
-       -lws2_32.lib
-
-$(builddir)/run-tests: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(builddir)/run-tests: LIBS := $(LIBS)
-$(builddir)/run-tests: LD_INPUTS := $(OBJS) $(obj).target/src/rt/libuv/libuv.a
-$(builddir)/run-tests: TOOLSET := $(TOOLSET)
-$(builddir)/run-tests: $(OBJS) $(obj).target/src/rt/libuv/libuv.a FORCE_DO_CMD
-       $(call do_cmd,link)
-
-all_deps += $(builddir)/run-tests
-# Add target alias
-.PHONY: run-tests
-run-tests: $(builddir)/run-tests
-
-# Add executable to "all" target.
-.PHONY: all
-all: $(builddir)/run-tests
-
diff --git a/mk/libuv/x86_64/win/src/rt/libuv/uv.Makefile b/mk/libuv/x86_64/win/src/rt/libuv/uv.Makefile
deleted file mode 100644 (file)
index 8bfd8a0..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-export builddir_name ?= mk/libuv/x86_64/win/./src/rt/libuv/out
-.PHONY: all
-all:
-       $(MAKE) -C ../../.. uv run-tests run-benchmarks
diff --git a/mk/libuv/x86_64/win/src/rt/libuv/uv.target.mk b/mk/libuv/x86_64/win/src/rt/libuv/uv.target.mk
deleted file mode 100644 (file)
index 1291a82..0000000
+++ /dev/null
@@ -1,137 +0,0 @@
-# This file is generated by gyp; do not edit.
-
-TOOLSET := target
-TARGET := uv
-DEFS_Default := '-DHAVE_CONFIG_H' \
-       '-D_WIN32_WINNT=0x0502' \
-       '-DEIO_STACKSIZE=262144' \
-       '-D_GNU_SOURCE'
-
-# Flags passed to all source files.
-CFLAGS_Default := 
-
-# Flags passed to only C files.
-CFLAGS_C_Default := 
-
-# Flags passed to only C++ files.
-CFLAGS_CC_Default := 
-
-INCS_Default := -I$(srcdir)/src/rt/libuv/include \
-       -I$(srcdir)/src/rt/libuv/include/uv-private \
-       -I$(srcdir)/src/rt/libuv/src \
-       -I$(srcdir)/src/rt/libuv/src/ares/config_win32
-
-OBJS := $(obj).target/$(TARGET)/src/rt/libuv/src/uv-common.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_cancel.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__close_sockets.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_data.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_destroy.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_name.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_expand_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_fds.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_free_string.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyaddr.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_gethostbyname.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__get_hostent.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getnameinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getopt.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getsock.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_library_init.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_llist.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_mkquery.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_nowarn.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_options.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_aaaa_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_a_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_mx_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ns_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_ptr_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_srv_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_parse_txt_reply.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_query.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__read_line.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_search.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_send.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strcasecmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strdup.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_strerror.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_timeout.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares__timeval.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_version.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_writev.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/bitncmp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_net_pton.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/inet_ntop.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/windows_port.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_getenv.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/ares/ares_platform.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/async.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/cares.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/core.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/dl.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/error.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/fs.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/fs-event.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/getaddrinfo.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/handle.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/loop-watcher.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/pipe.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/process.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/req.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/stream.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/tcp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/tty.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/threadpool.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/threads.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/timer.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/udp.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/util.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/winapi.o \
-       $(obj).target/$(TARGET)/src/rt/libuv/src/win/winsock.o
-
-# Add to the list of files we specially track dependencies for.
-all_deps += $(OBJS)
-
-# CFLAGS et al overrides must be target-local.
-# See "Target-specific Variable Values" in the GNU Make manual.
-$(OBJS): TOOLSET := $(TOOLSET)
-$(OBJS): GYP_CFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_C_$(BUILDTYPE))
-$(OBJS): GYP_CXXFLAGS := $(DEFS_$(BUILDTYPE)) $(INCS_$(BUILDTYPE)) $(CFLAGS_$(BUILDTYPE)) $(CFLAGS_CC_$(BUILDTYPE))
-
-# Suffix rules, putting all outputs into $(obj).
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(srcdir)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# Try building from generated source, too.
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj).$(TOOLSET)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-$(obj).$(TOOLSET)/$(TARGET)/%.o: $(obj)/%.c FORCE_DO_CMD
-       @$(call do_cmd,cc,1)
-
-# End of this set of suffix rules
-### Rules for final target.
-LDFLAGS_Default := 
-
-LIBS := 
-
-$(obj).target/src/rt/libuv/libuv.a: GYP_LDFLAGS := $(LDFLAGS_$(BUILDTYPE))
-$(obj).target/src/rt/libuv/libuv.a: LIBS := $(LIBS)
-$(obj).target/src/rt/libuv/libuv.a: TOOLSET := $(TOOLSET)
-$(obj).target/src/rt/libuv/libuv.a: $(OBJS) FORCE_DO_CMD
-       $(call do_cmd,alink)
-
-all_deps += $(obj).target/src/rt/libuv/libuv.a
-# Add target alias
-.PHONY: uv
-uv: $(obj).target/src/rt/libuv/libuv.a
-
-# Add target alias to "all" target.
-.PHONY: all
-all: uv
-
index d1dec15061b2a04791e226d301ad1f31fb5bfe5f..57f6812144d830e50dced8c7977797cec689e0ee 100644 (file)
--- a/mk/rt.mk
+++ b/mk/rt.mk
@@ -116,19 +116,19 @@ endif
 
 ifeq ($$(CFG_WINDOWSY), 1)
   LIBUV_OSTYPE_$(1) := win
-  LIBUV_LIB_$(1) := rt/$(1)/libuv/Default/obj.target/src/rt/libuv/libuv.a
+  LIBUV_LIB_$(1) := rt/$(1)/libuv/Default/obj.target/src/libuv/libuv.a
 else ifeq ($(CFG_OSTYPE), apple-darwin)
   LIBUV_OSTYPE_$(1) := mac
   LIBUV_LIB_$(1) := rt/$(1)/libuv/Default/libuv.a
 else
   LIBUV_OSTYPE_$(1) := unix
-  LIBUV_LIB_$(1) := rt/$(1)/libuv/Default/obj.target/src/rt/libuv/libuv.a
+  LIBUV_LIB_$(1) := rt/$(1)/libuv/Default/obj.target/src/libuv/libuv.a
 endif
 
 RUNTIME_DEF_$(1) := rt/rustrt$$(CFG_DEF_SUFFIX)
 RUNTIME_INCS_$(1) := -I $$(S)src/rt/isaac -I $$(S)src/rt/uthash \
                 -I $$(S)src/rt/arch/$$(HOST_$(1)) \
-                               -I $$(S)src/rt/libuv/include
+                               -I $$(S)src/libuv/include
 RUNTIME_OBJS_$(1) := $$(RUNTIME_CS_$(1):rt/%.cpp=rt/$(1)/%.o) \
                      $$(RUNTIME_S_$(1):rt/%.S=rt/$(1)/%.o)
 RUNTIME_LIBS_$(1) := $$(LIBUV_LIB_$(1))
@@ -154,10 +154,10 @@ rt/$(1)/$(CFG_RUNTIME): $$(RUNTIME_OBJS_$(1)) $$(MKFILES) \
 # of CC on the mingw I'm using, so we are explicitly using gcc. Also, we
 # have to list environment variables first on windows... mysterious
 $$(LIBUV_LIB_$(1)): $$(wildcard \
-                     $$(S)src/rt/libuv/* \
-                     $$(S)src/rt/libuv/*/* \
-                     $$(S)src/rt/libuv/*/*/* \
-                     $$(S)src/rt/libuv/*/*/*/*)
+                     $$(S)src/libuv/* \
+                     $$(S)src/libuv/*/* \
+                     $$(S)src/libuv/*/*/* \
+                     $$(S)src/libuv/*/*/*/*)
        $$(Q)$$(MAKE) -C $$(S)mk/libuv/$$(LIBUV_ARCH_$(1))/$$(LIBUV_OSTYPE_$(1)) \
                CFLAGS="$$(LIBUV_FLAGS_$$(HOST_$(1)))" \
         LDFLAGS="$$(LIBUV_FLAGS_$$(HOST_$(1)))" \
index 9224a1d40ec2f24a75a5d44c22b2eec13fee943f..3e91401d189911339e79aef82bc68f11da85bacd 100755 (executable)
@@ -23,17 +23,17 @@ for ARCH in ia32 x86_64
 do
     args="--depth . -Dlibrary=static_library -Dtarget_arch=$ARCH"
     
-    ./src/rt/libuv/build/gyp/gyp src/rt/libuv/uv.gyp $args \
+    ./src/libuv/build/gyp/gyp src/libuv/uv.gyp $args \
         -f make-mac \
         --generator-output mk/libuv/$ARCH/mac \
         -DOS=mac
 
-    ./src/rt/libuv/build/gyp/gyp src/rt/libuv/uv.gyp $args \
+    ./src/libuv/build/gyp/gyp src/libuv/uv.gyp $args \
         -f make-linux \
         --generator-output mk/libuv/$ARCH/unix \
         -DOS=linux
 
-    ./src/rt/libuv/build/gyp/gyp src/rt/libuv/uv.gyp $args \
+    ./src/libuv/build/gyp/gyp src/libuv/uv.gyp $args \
         -f make-linux \
         --generator-output mk/libuv/$ARCH/win \
         -DOS=win
@@ -53,4 +53,4 @@ done
 # On Mac, GYP hardcodes a -arch i386 into the output. Fix that.
 sed -i \
     -e 's/-arch i386/-arch x86_64/' \
-    mk/libuv/x86_64/mac/src/rt/libuv/*.mk
+    mk/libuv/x86_64/mac/src/libuv/*.mk
diff --git a/src/libuv b/src/libuv
new file mode 160000 (submodule)
index 0000000..f1859eb
--- /dev/null
+++ b/src/libuv
@@ -0,0 +1 @@
+Subproject commit f1859eb841be2fe48512bc10e64556383f408b01