]> git.lizzy.rs Git - rust.git/blob - src/codegen_i128.rs
Merge pull request #1144 from bjorn3/dynamic_module
[rust.git] / src / codegen_i128.rs
1 //! Replaces 128-bit operators with lang item calls where necessary
2
3 use cranelift_codegen::ir::ArgumentPurpose;
4
5 use crate::prelude::*;
6
7 pub(crate) fn maybe_codegen<'tcx>(
8     fx: &mut FunctionCx<'_, '_, 'tcx>,
9     bin_op: BinOp,
10     checked: bool,
11     lhs: CValue<'tcx>,
12     rhs: CValue<'tcx>,
13 ) -> Option<CValue<'tcx>> {
14     if lhs.layout().ty != fx.tcx.types.u128
15         && lhs.layout().ty != fx.tcx.types.i128
16         && rhs.layout().ty != fx.tcx.types.u128
17         && rhs.layout().ty != fx.tcx.types.i128
18     {
19         return None;
20     }
21
22     let lhs_val = lhs.load_scalar(fx);
23     let rhs_val = rhs.load_scalar(fx);
24
25     let is_signed = type_sign(lhs.layout().ty);
26
27     match bin_op {
28         BinOp::BitAnd | BinOp::BitOr | BinOp::BitXor => {
29             assert!(!checked);
30             None
31         }
32         BinOp::Add | BinOp::Sub if !checked => None,
33         BinOp::Mul if !checked => {
34             let val_ty = if is_signed {
35                 fx.tcx.types.i128
36             } else {
37                 fx.tcx.types.u128
38             };
39             Some(fx.easy_call("__multi3", &[lhs, rhs], val_ty))
40         }
41         BinOp::Add | BinOp::Sub | BinOp::Mul => {
42             assert!(checked);
43             let out_ty = fx.tcx.mk_tup([lhs.layout().ty, fx.tcx.types.bool].iter());
44             let out_place = CPlace::new_stack_slot(fx, fx.layout_of(out_ty));
45             let param_types = vec![
46                 AbiParam::special(pointer_ty(fx.tcx), ArgumentPurpose::StructReturn),
47                 AbiParam::new(types::I128),
48                 AbiParam::new(types::I128),
49             ];
50             let args = [
51                 out_place.to_ptr().get_addr(fx),
52                 lhs.load_scalar(fx),
53                 rhs.load_scalar(fx),
54             ];
55             let name = match (bin_op, is_signed) {
56                 (BinOp::Add, false) => "__rust_u128_addo",
57                 (BinOp::Add, true) => "__rust_i128_addo",
58                 (BinOp::Sub, false) => "__rust_u128_subo",
59                 (BinOp::Sub, true) => "__rust_i128_subo",
60                 (BinOp::Mul, false) => "__rust_u128_mulo",
61                 (BinOp::Mul, true) => "__rust_i128_mulo",
62                 _ => unreachable!(),
63             };
64             fx.lib_call(name, param_types, vec![], &args);
65             Some(out_place.to_cvalue(fx))
66         }
67         BinOp::Offset => unreachable!("offset should only be used on pointers, not 128bit ints"),
68         BinOp::Div => {
69             assert!(!checked);
70             if is_signed {
71                 Some(fx.easy_call("__divti3", &[lhs, rhs], fx.tcx.types.i128))
72             } else {
73                 Some(fx.easy_call("__udivti3", &[lhs, rhs], fx.tcx.types.u128))
74             }
75         }
76         BinOp::Rem => {
77             assert!(!checked);
78             if is_signed {
79                 Some(fx.easy_call("__modti3", &[lhs, rhs], fx.tcx.types.i128))
80             } else {
81                 Some(fx.easy_call("__umodti3", &[lhs, rhs], fx.tcx.types.u128))
82             }
83         }
84         BinOp::Lt | BinOp::Le | BinOp::Eq | BinOp::Ge | BinOp::Gt | BinOp::Ne => {
85             assert!(!checked);
86             None
87         }
88         BinOp::Shl | BinOp::Shr => {
89             let is_overflow = if checked {
90                 // rhs >= 128
91
92                 // FIXME support non 128bit rhs
93                 /*let (rhs_lsb, rhs_msb) = fx.bcx.ins().isplit(rhs_val);
94                 let rhs_msb_gt_0 = fx.bcx.ins().icmp_imm(IntCC::NotEqual, rhs_msb, 0);
95                 let rhs_lsb_ge_128 = fx.bcx.ins().icmp_imm(IntCC::SignedGreaterThan, rhs_lsb, 127);
96                 let is_overflow = fx.bcx.ins().bor(rhs_msb_gt_0, rhs_lsb_ge_128);*/
97                 let is_overflow = fx.bcx.ins().bconst(types::B1, false);
98
99                 Some(fx.bcx.ins().bint(types::I8, is_overflow))
100             } else {
101                 None
102             };
103
104             let truncated_rhs = clif_intcast(fx, rhs_val, types::I32, false);
105             let val = match bin_op {
106                 BinOp::Shl => fx.bcx.ins().ishl(lhs_val, truncated_rhs),
107                 BinOp::Shr => {
108                     if is_signed {
109                         fx.bcx.ins().sshr(lhs_val, truncated_rhs)
110                     } else {
111                         fx.bcx.ins().ushr(lhs_val, truncated_rhs)
112                     }
113                 }
114                 _ => unreachable!(),
115             };
116             if let Some(is_overflow) = is_overflow {
117                 let out_ty = fx.tcx.mk_tup([lhs.layout().ty, fx.tcx.types.bool].iter());
118                 Some(CValue::by_val_pair(val, is_overflow, fx.layout_of(out_ty)))
119             } else {
120                 Some(CValue::by_val(val, lhs.layout()))
121             }
122         }
123     }
124 }